pkgsrc-Changes-HG archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

[pkgsrc/trunk]: pkgsrc/devel/flex Update flex to 2.6.4.



details:   https://anonhg.NetBSD.org/pkgsrc/rev/135d5f9d17d0
branches:  trunk
changeset: 365742:135d5f9d17d0
user:      jakllsch <jakllsch%pkgsrc.org@localhost>
date:      Mon Jul 24 14:19:06 2017 +0000

description:
Update flex to 2.6.4.

Upstream news:

* Noteworthy changes in release 2.6.4 (2017-05-06) [stable]

** build

*** The indent target now knows about flex's new (as of 2.6.0)
    layout. The indent rules it would apply are not correct and do
    need to be fixed.

*** The files included in the flex distribution are now built by the
    version of flex that is included in the distribution.

*** The configure script has a better idea of which headers are
    required to build flex. It will also error when missing functions
    are detected.

*** We have lowered the versions of automake and gettext that
    configure.ac lists as required for building flex. In autogen.sh,
    we now check for how to call libtoolize and use what we find in
    the rest of the script.

*** Since files in lib/ are picked up as needed by src/, we no longer
    generate a Makefile for that directory.

*** Flex can be cross compiled.

** documentation

*** Some typos were removed from the manual.

** scanner

*** Some minor performance enhancements.

*** We honor user defined yy_* macros again. We are also more careful
    to not leak macro definitions into header files.

*** A number of portability fixes were introduced so building flex is
    more reliable on more platforms. Additionally, outdated function
    calls were removed.

*** When building the flex executable itself, %# comments from
    flex.skl are removed when generating the C source code array. This
    reduces the size of flex.

** test suite

*** All scripts in the test suite are now run by $(SHELL) and the
    needed portability fixes have been included.

*** Test suite dependencies are handled much better. This only matters
    if you are actively developing flex or its test suite.

*** Tests that depend on platform dependent features now properly skip
    when those platforms are not present.

*** When running "make check", you can now pas V=0 to silence more of
    the build. This is useful when you're less connncerned about the
    details of building and linking the test programs themselves.

diffstat:

 devel/flex/Makefile                        |    5 +-
 devel/flex/distinfo                        |   13 +-
 devel/flex/patches/patch-src_main.c        |   23 --
 devel/flex/patches/patch-tests_Makefile.am |   86 --------
 devel/flex/patches/patch-tests_Makefile.in |  286 -----------------------------
 5 files changed, 7 insertions(+), 406 deletions(-)

diffs (truncated from 438 to 300 lines):

diff -r 69e156066dfc -r 135d5f9d17d0 devel/flex/Makefile
--- a/devel/flex/Makefile       Mon Jul 24 13:38:42 2017 +0000
+++ b/devel/flex/Makefile       Mon Jul 24 14:19:06 2017 +0000
@@ -1,7 +1,6 @@
-# $NetBSD: Makefile,v 1.58 2017/07/24 10:07:44 maya Exp $
+# $NetBSD: Makefile,v 1.59 2017/07/24 14:19:06 jakllsch Exp $
 
-DISTNAME=      flex-2.6.3
-PKGREVISION=   2
+DISTNAME=      flex-2.6.4
 CATEGORIES=    devel lang
 MASTER_SITES=  ${MASTER_SITE_GITHUB:=westes/}
 GITHUB_RELEASE=        v${PKGVERSION_NOREV}
diff -r 69e156066dfc -r 135d5f9d17d0 devel/flex/distinfo
--- a/devel/flex/distinfo       Mon Jul 24 13:38:42 2017 +0000
+++ b/devel/flex/distinfo       Mon Jul 24 14:19:06 2017 +0000
@@ -1,9 +1,6 @@
-$NetBSD: distinfo,v 1.32 2017/07/24 10:07:44 maya Exp $
+$NetBSD: distinfo,v 1.33 2017/07/24 14:19:06 jakllsch Exp $
 
-SHA1 (flex-2.6.3.tar.gz) = 8008eb0e4ccc634c334ac1d9e04716be2ba527a9
-RMD160 (flex-2.6.3.tar.gz) = 992f79f75e167bd062b8c8f00c783ea6349f9fd4
-SHA512 (flex-2.6.3.tar.gz) = f14b1af7ddd148660737991787fcf13d86cc0bef3859ed6c2135963373e76524d70382795c845cb6491b0435f8c40ba81e17f15267592b8d1656cfd4c3430b00
-Size (flex-2.6.3.tar.gz) = 1405560 bytes
-SHA1 (patch-src_main.c) = 39f1682a7809a8db308c92bb127780fd98d52b3e
-SHA1 (patch-tests_Makefile.am) = f30524f9b8c22a37cb04b4406ec142ea1ecb8e59
-SHA1 (patch-tests_Makefile.in) = 709d5f117418bbcbcc54926f582bc808daf12f3b
+SHA1 (flex-2.6.4.tar.gz) = fafece095a0d9890ebd618adb1f242d8908076e1
+RMD160 (flex-2.6.4.tar.gz) = 6439e82ff51698202a71a91f85d4eaad8a3fa10c
+SHA512 (flex-2.6.4.tar.gz) = e9785f3d620a204b7d20222888917dc065c2036cae28667065bf7862dfa1b25235095a12fd04efdbd09bfd17d3452e6b9ef953a8c1137862ff671c97132a082e
+Size (flex-2.6.4.tar.gz) = 1419096 bytes
diff -r 69e156066dfc -r 135d5f9d17d0 devel/flex/patches/patch-src_main.c
--- a/devel/flex/patches/patch-src_main.c       Mon Jul 24 13:38:42 2017 +0000
+++ /dev/null   Thu Jan 01 00:00:00 1970 +0000
@@ -1,23 +0,0 @@
-$NetBSD: patch-src_main.c,v 1.1 2017/07/24 10:07:44 maya Exp $
-
-Pull in upstream commit:
-[PATCH] scanner: Use prefix when defining yywrap to avoid redefinition.
-Fixes regression introduced in v2.6.3.
-
---- src/main.c.orig    2016-12-29 20:03:14.000000000 +0000
-+++ src/main.c
-@@ -1586,9 +1586,9 @@ void readin (void)
-       if (!do_yywrap) {
-               if (!C_plus_plus) {
-                        if (reentrant)
--                              outn ("\n#define yywrap(yyscanner) (/*CONSTCOND*/1)");
-+                              out_str ("\n#define %swrap(yyscanner) (/*CONSTCOND*/1)\n", prefix);
-                        else
--                              outn ("\n#define yywrap() (/*CONSTCOND*/1)");
-+                              out_str ("\n#define %swrap() (/*CONSTCOND*/1)\n", prefix);
-               }
-               outn ("#define YY_SKIP_YYWRAP");
-       }
--- 
-2.13.1
-
diff -r 69e156066dfc -r 135d5f9d17d0 devel/flex/patches/patch-tests_Makefile.am
--- a/devel/flex/patches/patch-tests_Makefile.am        Mon Jul 24 13:38:42 2017 +0000
+++ /dev/null   Thu Jan 01 00:00:00 1970 +0000
@@ -1,86 +0,0 @@
-$NetBSD: patch-tests_Makefile.am,v 1.3 2016/11/06 21:31:38 wiz Exp $
-
-Disable bison tests since we don't want to build with bison
-to avoid a dependency cycle, since bison depends on flex.
-https://github.com/westes/flex/issues/49
-
---- tests/Makefile.am.orig     Sun Nov  6 20:09:47 2016
-+++ tests/Makefile.am  Sun Nov  6 20:10:43 2016
-@@ -66,9 +66,6 @@
-       array_r \
-       basic_nr \
-       basic_r \
--      bison_nr \
--      bison_yylloc \
--      bison_yylval \
-       c_cxx_nr \
-       c_cxx_r \
-       ccl \
-@@ -127,9 +124,6 @@
- array_r_SOURCES = array_r.l
- basic_nr_SOURCES = basic_nr.l
- basic_r_SOURCES = basic_r.l
--bison_nr_SOURCES = bison_nr_scanner.l bison_nr_parser.y bison_nr_main.c
--bison_yylloc_SOURCES = bison_yylloc_scanner.l bison_yylloc_parser.y bison_yylloc_main.c
--bison_yylval_SOURCES = bison_yylval_scanner.l bison_yylval_parser.y bison_yylval_main.c
- c_cxx_nr_SOURCES = c_cxx_nr.lll
- c_cxx_r_SOURCES = c_cxx_r.lll
- ccl_SOURCES = ccl.l
-@@ -170,12 +164,6 @@
- yyextra_SOURCES = yyextra.l
- 
- BUILT_SOURCES = \
--      bison_nr_parser.h \
--      bison_nr_scanner.h \
--      bison_yylloc_parser.h \
--      bison_yylloc_scanner.h \
--      bison_yylval_parser.h \
--      bison_yylval_scanner.h \
-       header_nr_scanner.h \
-       header_r_scanner.h \
-       multiple_scanners_nr_1.h \
-@@ -198,18 +186,6 @@
-       array_r.c \
-       basic_nr.c \
-       basic_r.c \
--      bison_nr_parser.c \
--      bison_nr_parser.h \
--      bison_nr_scanner.c \
--      bison_nr_scanner.h \
--      bison_yylloc_parser.c \
--      bison_yylloc_parser.h \
--      bison_yylloc_scanner.c \
--      bison_yylloc_scanner.h \
--      bison_yylval_parser.c \
--      bison_yylval_parser.h \
--      bison_yylval_scanner.c \
--      bison_yylval_scanner.h \
-       c_cxx_nr.cc \
-       c_cxx_r.cc \
-       ccl.c \
-@@ -276,9 +252,6 @@
-       array_r.txt \
-       basic_nr.txt \
-       basic_r.txt \
--      bison_nr.txt \
--      bison_yylloc.txt \
--      bison_yylval.txt \
-       c_cxx_nr.txt \
-       c_cxx_r.txt \
-       ccl.txt \
-@@ -340,15 +313,6 @@
- .ll.cc: $(FLEX)
-       $(FLEX) -+ -o $@ $<
- 
--bison_nr_main.($OBJEXT): bison_nr_parser.h bison_nr_scanner.h
--bison_nr_scanner.h: bison_nr_scanner.c
--
--bison_yylloc_main.$(OBJEXT): bison_yylloc_parser.h bison_yylloc_scanner.h
--bison_yylloc_scanner.h: bison_yylloc_scanner.c
--
--bison_yylval_main.$(OBJEXT): bison_yylval_parser.h bison_yylval_scanner.h
--bison_yylval_scanner.h: bison_yylval_scanner.c
--
- # automake does not support compiling flex scanners output in C as C++
- # so we explicitly sayhow, using the .lll suffix for the lex input file
- 
diff -r 69e156066dfc -r 135d5f9d17d0 devel/flex/patches/patch-tests_Makefile.in
--- a/devel/flex/patches/patch-tests_Makefile.in        Mon Jul 24 13:38:42 2017 +0000
+++ /dev/null   Thu Jan 01 00:00:00 1970 +0000
@@ -1,286 +0,0 @@
-$NetBSD: patch-tests_Makefile.in,v 1.4 2016/11/06 21:31:38 wiz Exp $
-
-Disable bison tests since we don't want to build with bison
-to avoid a dependency cycle, since bison depends on flex.
-https://github.com/westes/flex/issues/49
-
---- tests/Makefile.in.orig     2016-10-24 22:33:38.000000000 +0000
-+++ tests/Makefile.in
-@@ -131,8 +131,7 @@ CONFIG_HEADER = $(top_builddir)/src/conf
- CONFIG_CLEAN_FILES =
- CONFIG_CLEAN_VPATH_FILES =
- am__EXEEXT_1 = alloc_extra$(EXEEXT) array_nr$(EXEEXT) array_r$(EXEEXT) \
--      basic_nr$(EXEEXT) basic_r$(EXEEXT) bison_nr$(EXEEXT) \
--      bison_yylloc$(EXEEXT) bison_yylval$(EXEEXT) c_cxx_nr$(EXEEXT) \
-+      basic_nr$(EXEEXT) basic_r$(EXEEXT) c_cxx_nr$(EXEEXT) \
-       c_cxx_r$(EXEEXT) ccl$(EXEEXT) cxx_basic$(EXEEXT) \
-       cxx_multiple_scanners$(EXEEXT) cxx_restart$(EXEEXT) \
-       debug_nr$(EXEEXT) debug_r$(EXEEXT) extended$(EXEEXT) \
-@@ -237,18 +236,6 @@ basic_nr_LDADD = $(LDADD)
- am_basic_r_OBJECTS = basic_r.$(OBJEXT)
- basic_r_OBJECTS = $(am_basic_r_OBJECTS)
- basic_r_LDADD = $(LDADD)
--am_bison_nr_OBJECTS = bison_nr_scanner.$(OBJEXT) \
--      bison_nr_parser.$(OBJEXT) bison_nr_main.$(OBJEXT)
--bison_nr_OBJECTS = $(am_bison_nr_OBJECTS)
--bison_nr_LDADD = $(LDADD)
--am_bison_yylloc_OBJECTS = bison_yylloc_scanner.$(OBJEXT) \
--      bison_yylloc_parser.$(OBJEXT) bison_yylloc_main.$(OBJEXT)
--bison_yylloc_OBJECTS = $(am_bison_yylloc_OBJECTS)
--bison_yylloc_LDADD = $(LDADD)
--am_bison_yylval_OBJECTS = bison_yylval_scanner.$(OBJEXT) \
--      bison_yylval_parser.$(OBJEXT) bison_yylval_main.$(OBJEXT)
--bison_yylval_OBJECTS = $(am_bison_yylval_OBJECTS)
--bison_yylval_LDADD = $(LDADD)
- am_c_cxx_nr_OBJECTS = c_cxx_nr.$(OBJEXT)
- c_cxx_nr_OBJECTS = $(am_c_cxx_nr_OBJECTS)
- c_cxx_nr_LDADD = $(LDADD)
-@@ -686,24 +673,14 @@ am__v_LEX_ = $(am__v_LEX_@AM_DEFAULT_V@)
- am__v_LEX_0 = @echo "  LEX     " $@;
- am__v_LEX_1 = 
- YLWRAP = $(top_srcdir)/build-aux/ylwrap
--am__yacc_c2h = sed -e s/cc$$/hh/ -e s/cpp$$/hpp/ -e s/cxx$$/hxx/ \
--                 -e s/c++$$/h++/ -e s/c$$/h/
--YACCCOMPILE = $(YACC) $(AM_YFLAGS) $(YFLAGS)
--LTYACCCOMPILE = $(LIBTOOL) $(AM_V_lt) $(AM_LIBTOOLFLAGS) \
--      $(LIBTOOLFLAGS) --mode=compile $(YACC) $(AM_YFLAGS) $(YFLAGS)
--AM_V_YACC = $(am__v_YACC_@AM_V@)
--am__v_YACC_ = $(am__v_YACC_@AM_DEFAULT_V@)
--am__v_YACC_0 = @echo "  YACC    " $@;
--am__v_YACC_1 = 
- SOURCES = $(alloc_extra_SOURCES) $(array_nr_SOURCES) \
-       $(array_r_SOURCES) $(basic_nr_SOURCES) $(basic_r_SOURCES) \
--      $(bison_nr_SOURCES) $(bison_yylloc_SOURCES) \
--      $(bison_yylval_SOURCES) $(c_cxx_nr_SOURCES) $(c_cxx_r_SOURCES) \
--      $(ccl_SOURCES) $(cxx_basic_SOURCES) \
--      $(cxx_multiple_scanners_SOURCES) $(cxx_restart_SOURCES) \
--      $(cxx_yywrap_i3_SOURCES) $(debug_nr_SOURCES) \
--      $(debug_r_SOURCES) $(extended_SOURCES) $(header_nr_SOURCES) \
--      $(header_r_SOURCES) $(include_by_buffer_direct_SOURCES) \
-+      $(c_cxx_nr_SOURCES) $(c_cxx_r_SOURCES) $(ccl_SOURCES) \
-+      $(cxx_basic_SOURCES) $(cxx_multiple_scanners_SOURCES) \
-+      $(cxx_restart_SOURCES) $(cxx_yywrap_i3_SOURCES) \
-+      $(debug_nr_SOURCES) $(debug_r_SOURCES) $(extended_SOURCES) \
-+      $(header_nr_SOURCES) $(header_r_SOURCES) \
-+      $(include_by_buffer_direct_SOURCES) \
-       $(include_by_push_direct_SOURCES) \
-       $(include_by_reentrant_direct_SOURCES) \
-       $(lineno_nr_one_SOURCES) $(lineno_r_one_SOURCES) \
-@@ -785,13 +762,12 @@ SOURCES = $(alloc_extra_SOURCES) $(array
-       $(yyextra_SOURCES)
- DIST_SOURCES = $(alloc_extra_SOURCES) $(array_nr_SOURCES) \
-       $(array_r_SOURCES) $(basic_nr_SOURCES) $(basic_r_SOURCES) \
--      $(bison_nr_SOURCES) $(bison_yylloc_SOURCES) \
--      $(bison_yylval_SOURCES) $(c_cxx_nr_SOURCES) $(c_cxx_r_SOURCES) \
--      $(ccl_SOURCES) $(cxx_basic_SOURCES) \
--      $(cxx_multiple_scanners_SOURCES) $(cxx_restart_SOURCES) \
--      $(cxx_yywrap_i3_SOURCES) $(debug_nr_SOURCES) \
--      $(debug_r_SOURCES) $(extended_SOURCES) $(header_nr_SOURCES) \
--      $(header_r_SOURCES) $(include_by_buffer_direct_SOURCES) \
-+      $(c_cxx_nr_SOURCES) $(c_cxx_r_SOURCES) $(ccl_SOURCES) \
-+      $(cxx_basic_SOURCES) $(cxx_multiple_scanners_SOURCES) \
-+      $(cxx_restart_SOURCES) $(cxx_yywrap_i3_SOURCES) \
-+      $(debug_nr_SOURCES) $(debug_r_SOURCES) $(extended_SOURCES) \
-+      $(header_nr_SOURCES) $(header_r_SOURCES) \
-+      $(include_by_buffer_direct_SOURCES) \
-       $(include_by_push_direct_SOURCES) \
-       $(include_by_reentrant_direct_SOURCES) \
-       $(lineno_nr_one_SOURCES) $(lineno_r_one_SOURCES) \
-@@ -1136,11 +1112,7 @@ am__DIST_COMMON = $(srcdir)/Makefile.in 
-       $(top_srcdir)/build-aux/depcomp \
-       $(top_srcdir)/build-aux/test-driver \
-       $(top_srcdir)/build-aux/ylwrap README alloc_extra.c array_nr.c \
--      array_r.c basic_nr.c basic_r.c bison_nr_parser.c \
--      bison_nr_parser.h bison_nr_scanner.c bison_yylloc_parser.c \
--      bison_yylloc_parser.h bison_yylloc_scanner.c \
--      bison_yylval_parser.c bison_yylval_parser.h \
--      bison_yylval_scanner.c ccl.c cxx_basic.cc \
-+      array_r.c basic_nr.c basic_r.c ccl.c cxx_basic.cc \
-       cxx_multiple_scanners_1.cc cxx_multiple_scanners_2.cc \
-       cxx_restart.cc cxx_yywrap.cc debug_nr.c debug_r.c extended.c \
-       header_nr_scanner.c header_r_scanner.c \
-@@ -1336,9 +1306,6 @@ simple_tests = \
-       array_r \
-       basic_nr \
-       basic_r \
--      bison_nr \
--      bison_yylloc \
--      bison_yylval \
-       c_cxx_nr \
-       c_cxx_r \
-       ccl \
-@@ -1395,9 +1362,6 @@ array_nr_SOURCES = array_nr.l
- array_r_SOURCES = array_r.l
- basic_nr_SOURCES = basic_nr.l
- basic_r_SOURCES = basic_r.l
--bison_nr_SOURCES = bison_nr_scanner.l bison_nr_parser.y bison_nr_main.c
--bison_yylloc_SOURCES = bison_yylloc_scanner.l bison_yylloc_parser.y bison_yylloc_main.c
--bison_yylval_SOURCES = bison_yylval_scanner.l bison_yylval_parser.y bison_yylval_main.c
- c_cxx_nr_SOURCES = c_cxx_nr.lll
- c_cxx_r_SOURCES = c_cxx_r.lll
- ccl_SOURCES = ccl.l
-@@ -1437,12 +1401,6 @@ string_r_SOURCES = string_r.l
- top_SOURCES = top.l top_main.c
- yyextra_SOURCES = yyextra.l
- BUILT_SOURCES = \
--      bison_nr_parser.h \
--      bison_nr_scanner.h \
--      bison_yylloc_parser.h \
--      bison_yylloc_scanner.h \
--      bison_yylval_parser.h \
--      bison_yylval_scanner.h \
-       header_nr_scanner.h \
-       header_r_scanner.h \
-       multiple_scanners_nr_1.h \
-@@ -1465,18 +1423,6 @@ CLEANFILES = \
-       array_r.c \
-       basic_nr.c \
-       basic_r.c \
--      bison_nr_parser.c \
--      bison_nr_parser.h \
--      bison_nr_scanner.c \
--      bison_nr_scanner.h \
--      bison_yylloc_parser.c \
--      bison_yylloc_parser.h \
--      bison_yylloc_scanner.c \
--      bison_yylloc_scanner.h \
--      bison_yylval_parser.c \
--      bison_yylval_parser.h \



Home | Main Index | Thread Index | Old Index