tech-kern archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

netbsd-5 build failure in 1915drm



Hi all.

While building netbsd-5 I get the following error:

dependall ===> sys/modules/i915drm
#   compile  i915drm/i915_mem.o
/usr/src/../tools/bin/i386--netbsdelf-gcc -O2 -Wall -Wstrict-prototypes -Wmissin
g-prototypes -Wpointer-arith -Wno-sign-compare -Wno-traditional -Wa,--fatal-warn
ings  -Werror  -ffreestanding  -fno-strict-aliasing -Wno-pointer-sign  -I/usr/sr
c/common/include -I/usr/src/sys/external/bsd/drm/dist/bsd-core  -I/usr/src/sys/e
xternal/bsd/drm/dist/shared-core -I/usr/src/common/include  -nostdinc -I. -I/usr
/src/sys/modules/i915drm -isystem /usr/src/sys -isystem /usr/src/sys/arch -isyst
em /usr/src/sys/../common/include -D_KERNEL -D_LKM -D_MODULE -c    /usr/src/sys/
dev/pci/drm/i915_mem.c
In file included from /usr/src/sys/dev/pci/drm/i915_mem.c:40:
/usr/src/sys/dev/pci/drm/i915_drv.h:76: error: expected specifier-qualifier-list
 before 'DRMFILE'
/usr/src/sys/dev/pci/drm/i915_drv.h:112: error: expected ')' before '*' token
/usr/src/sys/dev/pci/drm/i915_drv.h:114: error: expected ')' before '*' token
...

and some dozens errors with similar messages regarding i915drm.

It has been for > 2-3 days. Perhaps I should do a clean build ?

Thanks,
Stathis


Home | Main Index | Thread Index | Old Index