pkgsrc-WIP-changes archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

(cad/verilator) revive for updating to 5.026



Module Name:	pkgsrc-wip
Committed By:	Makoto Fujiwara <mef%NetBSD.org@localhost>
Pushed By:	mef
Date:		Sat Jul 13 21:19:24 2024 +0900
Changeset:	37b6c5f1310a81e4e6834bc5a806f0e4b628c736

Added Files:
	verilator/DESCR
	verilator/Makefile
	verilator/PLIST
	verilator/TODO
	verilator/distinfo

Log Message:
(cad/verilator) revive for updating to 5.026

To see a diff of this commit:
https://wip.pkgsrc.org/cgi-bin/gitweb.cgi?p=pkgsrc-wip.git;a=commitdiff;h=37b6c5f1310a81e4e6834bc5a806f0e4b628c736

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

diffstat:
 verilator/DESCR    |   8 +++++
 verilator/Makefile |  29 ++++++++++++++++
 verilator/PLIST    | 100 +++++++++++++++++++++++++++++++++++++++++++++++++++++
 verilator/TODO     |   9 +++++
 verilator/distinfo |   5 +++
 5 files changed, 151 insertions(+)

diffs:
diff --git a/verilator/DESCR b/verilator/DESCR
new file mode 100644
index 0000000000..80a4a1a7aa
--- /dev/null
+++ b/verilator/DESCR
@@ -0,0 +1,8 @@
+Verilator is the fastest free Verilog HDL simulator, and outperforms
+most commercial simulators. Verilator compiles synthesizable
+SystemVerilog (generally not test-bench code), plus some SystemVerilog
+and Synthesis assertions into single- or multithreaded C++ or
+SystemC code. Verilator is designed for large projects where fast
+simulation performance is of primary concern, and is especially
+well suited to generate executable models of CPUs for embedded
+software design teams.
diff --git a/verilator/Makefile b/verilator/Makefile
new file mode 100644
index 0000000000..3818498ab1
--- /dev/null
+++ b/verilator/Makefile
@@ -0,0 +1,29 @@
+# $NetBSD$
+
+VERSION=	5.026
+DISTNAME=	verilator-${VERSION}
+CATEGORIES=	cad
+MASTER_SITES=	${MASTER_SITE_GITHUB:=verilator/}
+GITHUB_TAG=	v${VERSION}
+
+MAINTAINER=	pkgsrc-users%NetBSD.org@localhost
+HOMEPAGE=	https://github.com/verilator/verilator/
+COMMENT=	Convert HDL (Verilog etc) into a C++ or SystemC model
+LICENSE=	gnu-gpl-v3
+
+USE_TOOLS+=	pkg-config
+USE_LANGUAGES=	c c++
+
+PKGCONFIG_OVERRIDE+=	verilator.pc.in
+
+REPLACE_PERL+= \
+	bin/verilator
+
+REPLACE_PYTHON= \
+	bin/verilator_ccache_report \
+#	bin/verilator_difftree \
+#	bin/verilator_gantt \
+#	bin/verilator_profcfunc
+
+.include "../../devel/cmake/build.mk"
+.include "../../mk/bsd.pkg.mk"
diff --git a/verilator/PLIST b/verilator/PLIST
new file mode 100644
index 0000000000..63cb1fe2ae
--- /dev/null
+++ b/verilator/PLIST
@@ -0,0 +1,100 @@
+@comment $NetBSD$
+bin/verilator
+bin/verilator_ccache_report
+bin/verilator_difftree
+bin/verilator_gantt
+bin/verilator_profcfunc
+examples/cmake_hello_c/CMakeLists.txt
+examples/cmake_hello_c/Makefile
+examples/cmake_hello_sc/CMakeLists.txt
+examples/cmake_hello_sc/Makefile
+examples/cmake_protect_lib/CMakeLists.txt
+examples/cmake_protect_lib/Makefile
+examples/cmake_tracing_c/CMakeLists.txt
+examples/cmake_tracing_c/Makefile
+examples/cmake_tracing_sc/CMakeLists.txt
+examples/cmake_tracing_sc/Makefile
+examples/json_py/Makefile
+examples/json_py/sub.v
+examples/json_py/top.v
+examples/make_hello_binary/Makefile
+examples/make_hello_binary/top.v
+examples/make_hello_c/Makefile
+examples/make_hello_c/sim_main.cpp
+examples/make_hello_c/top.v
+examples/make_hello_sc/Makefile
+examples/make_hello_sc/sc_main.cpp
+examples/make_hello_sc/top.v
+examples/make_protect_lib/Makefile
+examples/make_protect_lib/secret_impl.v
+examples/make_protect_lib/sim_main.cpp
+examples/make_protect_lib/top.v
+examples/make_tracing_c/Makefile
+examples/make_tracing_c/Makefile_obj
+examples/make_tracing_c/input.vc
+examples/make_tracing_c/sim_main.cpp
+examples/make_tracing_c/sub.v
+examples/make_tracing_c/top.v
+examples/make_tracing_sc/Makefile
+examples/make_tracing_sc/Makefile_obj
+examples/make_tracing_sc/input.vc
+examples/make_tracing_sc/sc_main.cpp
+examples/make_tracing_sc/sub.v
+examples/make_tracing_sc/top.v
+include/gtkwave/fastlz.c
+include/gtkwave/fastlz.h
+include/gtkwave/fst_config.h
+include/gtkwave/fst_win_unistd.h
+include/gtkwave/fstapi.c
+include/gtkwave/fstapi.h
+include/gtkwave/lz4.c
+include/gtkwave/lz4.h
+include/gtkwave/wavealloca.h
+include/verilated.cpp
+include/verilated.h
+include/verilated.v
+include/verilated_config.h
+include/verilated_cov.cpp
+include/verilated_cov.h
+include/verilated_cov_key.h
+include/verilated_dpi.cpp
+include/verilated_dpi.h
+include/verilated_fst_c.cpp
+include/verilated_fst_c.h
+include/verilated_fst_sc.cpp
+include/verilated_fst_sc.h
+include/verilated_funcs.h
+include/verilated_imp.h
+include/verilated_intrinsics.h
+include/verilated_probdist.cpp
+include/verilated_profiler.cpp
+include/verilated_profiler.h
+include/verilated_random.cpp
+include/verilated_random.h
+include/verilated_save.cpp
+include/verilated_save.h
+include/verilated_sc.h
+include/verilated_sc_trace.h
+include/verilated_std.sv
+include/verilated_sym_props.h
+include/verilated_syms.h
+include/verilated_threads.cpp
+include/verilated_threads.h
+include/verilated_timing.cpp
+include/verilated_timing.h
+include/verilated_trace.h
+include/verilated_trace_imp.h
+include/verilated_types.h
+include/verilated_vcd_c.cpp
+include/verilated_vcd_c.h
+include/verilated_vcd_sc.cpp
+include/verilated_vcd_sc.h
+include/verilated_vpi.cpp
+include/verilated_vpi.h
+include/verilatedos.h
+include/verilatedos_c.h
+include/vltstd/sv_vpi_user.h
+include/vltstd/svdpi.h
+include/vltstd/vpi_user.h
+verilator-config-version.cmake
+verilator-config.cmake
diff --git a/verilator/TODO b/verilator/TODO
new file mode 100644
index 0000000000..c86024ae7d
--- /dev/null
+++ b/verilator/TODO
@@ -0,0 +1,9 @@
+Fix these
+
+=> Checking for non-existent script interpreters in verilator-5.026
+ERROR: [check-interpreter.mk] The interpreter "/usr/bin/env" of "/tmp/wip/verilator/work/.destdir/usr/pkg/bin/verilator_ccache_report" is not allowed.
+ERROR: [check-interpreter.mk] The interpreter "/usr/bin/env" of "/tmp/wip/verilator/work/.destdir/usr/pkg/bin/verilator_difftree" is not allowed.
+ERROR: [check-interpreter.mk] The interpreter "/usr/bin/env" of "/tmp/wip/verilator/work/.destdir/usr/pkg/bin/verilator_gantt" is not allowed.
+ERROR: [check-interpreter.mk] The interpreter "/usr/bin/env" of "/tmp/wip/verilator/work/.destdir/usr/pkg/bin/verilator_profcfunc" is not allowed.
+*** Error code 1
+
diff --git a/verilator/distinfo b/verilator/distinfo
new file mode 100644
index 0000000000..78078cccb0
--- /dev/null
+++ b/verilator/distinfo
@@ -0,0 +1,5 @@
+$NetBSD$
+
+BLAKE2s (verilator-5.026.tar.gz) = 643a77169a24748997eb8441051beb0da22c9f1336bf2707a7e4e1000cdc7bc1
+SHA512 (verilator-5.026.tar.gz) = 95660da60c6f5fac9ce3c7298edfc5ee71beeb2aafe2908b31c83802a22bc4529389d687c3a51eb9370cc6bd1ae9e74e11cdab0de69fa278b1da0d664a393a68
+Size (verilator-5.026.tar.gz) = 3931397 bytes


Home | Main Index | Thread Index | Old Index