pkgsrc-WIP-changes archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

Update Yosys to version 0.29.



Module Name:	pkgsrc-wip
Committed By:	Lloyd Parkes <lloyd%must-have-coffee.gen.nz@localhost>
Pushed By:	lloyd
Date:		Thu May 18 12:49:45 2023 +1200
Changeset:	132e4ddc6b3390d19b8b51050c3482a3326a4a82

Modified Files:
	yosys/Makefile
	yosys/distinfo
Removed Files:
	yosys/patches/patch-libs_fst_fstapi.cc
	yosys/patches/patch-tests_sim_run-test.sh

Log Message:
Update Yosys to version 0.29.

All self-tests pass.

To see a diff of this commit:
https://wip.pkgsrc.org/cgi-bin/gitweb.cgi?p=pkgsrc-wip.git;a=commitdiff;h=132e4ddc6b3390d19b8b51050c3482a3326a4a82

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

diffstat:
 yosys/Makefile                            |  7 ++++++-
 yosys/distinfo                            |  8 +++-----
 yosys/patches/patch-libs_fst_fstapi.cc    | 22 ----------------------
 yosys/patches/patch-tests_sim_run-test.sh | 15 ---------------
 4 files changed, 9 insertions(+), 43 deletions(-)

diffs:
diff --git a/yosys/Makefile b/yosys/Makefile
index 8c2c08ee06..d34878a16f 100644
--- a/yosys/Makefile
+++ b/yosys/Makefile
@@ -2,7 +2,7 @@
 
 GITHUB_PROJECT=	yosys
 GITHUB_TAG=	refs/tags/${DISTNAME}
-DISTNAME=	yosys-0.22
+DISTNAME=	yosys-0.29
 CATEGORIES=	devel
 MASTER_SITES=	${MASTER_SITE_GITHUB:=YosysHQ/}
 
@@ -34,6 +34,8 @@ SUBST_FILES.python3+=	tests/realmath/run-test.sh
 SUBST_FILES.python3+=	tests/rpc/exec.ys
 SUBST_FILES.python3+=	tests/rpc/run-test.sh
 SUBST_FILES.python3+=	tests/share/run-test.sh
+SUBST_FILES.python3+=	tests/xprop/run-test.sh
+SUBST_FILES.python3+=	tests/xprop/generate.py
 
 SUBST_CLASSES+=		python
 SUBST_MESSAGE.python=	Fixing shellbang references to python3.
@@ -61,6 +63,9 @@ BUILDLINK_TRANSFORM=	rm:-ldl
 do-configure:
 	${RUN} ${ECHO} 'CONFIG := ${YOSYS_CONFIG}' > ${WRKSRC}/Makefile.conf
 
+# pkgsrc verilog isn't new enough
+#TEST_DEPENDS+=		iverilog-[0-9]*:../../cad/iverilog
+
 .include "../../lang/python/pyversion.mk"
 .include "../../lang/tcl/buildlink3.mk"
 .include "../../devel/readline/buildlink3.mk"
diff --git a/yosys/distinfo b/yosys/distinfo
index 58e3c07308..8573826687 100644
--- a/yosys/distinfo
+++ b/yosys/distinfo
@@ -1,10 +1,8 @@
 $NetBSD$
 
-BLAKE2s (yosys-0.22.tar.gz) = 4983725a68e89f2e5dbc341beb5deba28906c6ca84ecfe8deae1dba1fbb69ff7
-SHA512 (yosys-0.22.tar.gz) = d546196a6875b0ecaaab44437b5691f08890576eed357ac99dce233a0afd352a56742f5286da406e4e5513be32db99811fc6caa7a7a605a94af3903670fbf616
-Size (yosys-0.22.tar.gz) = 2362180 bytes
+BLAKE2s (yosys-0.29.tar.gz) = 76c4a9e23acebb0fc5818bd9603460327bce2883d9b7fda57c9afaa7843e4e76
+SHA512 (yosys-0.29.tar.gz) = f33d78f198576657db9cd558a770e6201a4f9227f01f17c1097b77d50946ec1a001a5ef144cf87958ba6335bb37c2dacde69463d0a98ae1f6754ad0d75def1b9
+Size (yosys-0.29.tar.gz) = 2524267 bytes
 SHA1 (patch-kernel_rtlil.cc) = 9e85969577560c67dd40d2fa63702ca103511a4e
 SHA1 (patch-kernel_rtlil.h) = d468c6736227ac176c153540fdb230038ff113f3
 SHA1 (patch-kernel_yosys.cc) = 81e504f0a61baa47eca7cec021ae60d8ed432e3b
-SHA1 (patch-libs_fst_fstapi.cc) = 3be81ba51fc67b4578f956513dc5e3c531c7240d
-SHA1 (patch-tests_sim_run-test.sh) = 9f8149dcb024ab93f723ed0f85dd88a58168bf62
diff --git a/yosys/patches/patch-libs_fst_fstapi.cc b/yosys/patches/patch-libs_fst_fstapi.cc
deleted file mode 100644
index 8c45175f72..0000000000
--- a/yosys/patches/patch-libs_fst_fstapi.cc
+++ /dev/null
@@ -1,22 +0,0 @@
-$NetBSD$
-
-support NetBSD
-
---- libs/fst/fstapi.cc.orig
-+++ libs/fst/fstapi.cc
-@@ -3607,6 +3607,7 @@ static int fstReaderRecreateHierFile(struct fstReaderContext *xc)
-             fflush(xc->f);
- #endif
-             zfd = dup(fileno(xc->f));
-+	    lseek(zfd, ftell(xc->f), SEEK_SET);
-             zhandle = gzdopen(zfd, "rb");
-             if (!zhandle) {
-                 close(zfd);
-@@ -4272,6 +4273,7 @@ int fstReaderInit(struct fstReaderContext *xc)
- #endif
- 
-         zfd = dup(fileno(xc->f));
-+	lseek(zfd, ftell(xc->f), SEEK_SET);
-         zhandle = gzdopen(zfd, "rb");
-         if (zhandle) {
-             for (offpnt = 0; offpnt < uclen; offpnt += FST_GZIO_LEN) {
diff --git a/yosys/patches/patch-tests_sim_run-test.sh b/yosys/patches/patch-tests_sim_run-test.sh
deleted file mode 100644
index 19141b128a..0000000000
--- a/yosys/patches/patch-tests_sim_run-test.sh
+++ /dev/null
@@ -1,15 +0,0 @@
-$NetBSD$
-
-Avoid a Linux specific invocation of basename.
-
---- tests/sim/run-test.sh
-+++ tests/sim/run-test.sh
-@@ -3,7 +3,7 @@ set -eu
- source ../gen-tests-makefile.sh
- echo "Generate FST for sim models"
- find tb/* -name tb*.v | while read name; do
--    test_name=$(basename -s .v $name)
-+    test_name=$(basename $name .v)
-     echo "Test $test_name"
-     verilog_name=${test_name:3}.v
-     iverilog -o tb/$test_name.out $name $verilog_name


Home | Main Index | Thread Index | Old Index