pkgsrc-WIP-changes archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

Remove wip/verilator.



Module Name:	pkgsrc-wip
Committed By:	Alexander Nasonov <alnsn%yandex.ru@localhost>
Pushed By:	alnsn
Date:		Sat Aug 24 23:27:02 2019 +0100
Changeset:	bd113c491744d86cd0ed7f7ca31b89751629d0d0

Removed Files:
	verilator/DESCR
	verilator/Makefile
	verilator/PLIST
	verilator/distinfo

Log Message:
Remove wip/verilator.

Up-to-date version is available in cad/verilator.

To see a diff of this commit:
https://wip.pkgsrc.org/cgi-bin/gitweb.cgi?p=pkgsrc-wip.git;a=commitdiff;h=bd113c491744d86cd0ed7f7ca31b89751629d0d0

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

diffstat:
 verilator/DESCR    |  6 -----
 verilator/Makefile | 40 ------------------------------
 verilator/PLIST    | 72 ------------------------------------------------------
 verilator/distinfo |  9 -------
 4 files changed, 127 deletions(-)

diffs:
diff --git a/verilator/DESCR b/verilator/DESCR
deleted file mode 100644
index b3ee709b4c..0000000000
--- a/verilator/DESCR
+++ /dev/null
@@ -1,6 +0,0 @@
-Verilator is the fastest free Verilog HDL simulator, and beats most commercial
-simulators. It compiles synthesizable Verilog (not test-bench code!), plus some
-PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is
-designed for large projects where fast simulation performance is of primary
-concern, and is especially well suited to generate executable models of CPUs for
-embedded software design teams.
diff --git a/verilator/Makefile b/verilator/Makefile
deleted file mode 100644
index 68b3a13e01..0000000000
--- a/verilator/Makefile
+++ /dev/null
@@ -1,40 +0,0 @@
-# $NetBSD$
-
-DISTNAME=	verilator-4.016
-CATEGORIES=	cad
-MASTER_SITES=	http://www.veripool.org/ftp/
-EXTRACT_SUFX=	.tgz
-
-MAINTAINER=	pkgsrc-users%NetBSD.org@localhost
-HOMEPAGE=	http://www.veripool.org/wiki/verilator/Intro
-COMMENT=	Free and fast Verilog HDL simulator
-LICENSE=	gnu-lgpl-v3 OR artistic
-
-GNU_CONFIGURE=	yes
-USE_TOOLS+=	pkg-config bison gmake perl autoconf flex
-USE_LANGUAGES=	c c++
-
-DEPENDS+=	p5-Getopt-Long>=[0-9]*:../../devel/p5-Getopt-Long
-
-REPLACE_PERL+=	test_regress/*.pl
-REPLACE_PERL+=	test_regress/t/*.pl
-REPLACE_PERL+=	test_regress/t/t_pipe_filter.pf
-REPLACE_PERL+=	test_regress/t/t_pipe_exit_bad.pf
-REPLACE_PERL+=	test_regress/t/t_case_deep.v
-REPLACE_PERL+=	src/vlcovgen
-REPLACE_PERL+=	src/bisonpre
-REPLACE_PERL+=	src/config_rev.pl
-REPLACE_PERL+=	src/cppcheck_filtered
-REPLACE_PERL+=	src/astgen
-REPLACE_PERL+=	src/pod2latexfix
-REPLACE_PERL+=	src/flexfix
-REPLACE_PERL+=	test_verilated/*.pl
-
-PKGCONFIG_OVERRIDE+=	verilator.pc.in
-
-TEST_TARGET=	test
-
-pre-configure:
-	${RUN} cd ${WRKSRC} && autoconf
-
-.include "../../mk/bsd.pkg.mk"
diff --git a/verilator/PLIST b/verilator/PLIST
deleted file mode 100644
index 991ab75319..0000000000
--- a/verilator/PLIST
+++ /dev/null
@@ -1,72 +0,0 @@
-@comment $NetBSD$
-bin/verilator
-bin/verilator_bin
-bin/verilator_bin_dbg
-bin/verilator_coverage
-bin/verilator_coverage_bin_dbg
-bin/verilator_gantt
-bin/verilator_profcfunc
-man/man1/verilator.1
-man/man1/verilator_coverage.1
-man/man1/verilator_gantt.1
-man/man1/verilator_profcfunc.1
-share/pkgconfig/verilator.pc
-share/verilator/bin/verilator_includer
-share/verilator/examples/hello_world_c/Makefile
-share/verilator/examples/hello_world_c/sim_main.cpp
-share/verilator/examples/hello_world_c/top.v
-share/verilator/examples/hello_world_sc/Makefile
-share/verilator/examples/hello_world_sc/sc_main.cpp
-share/verilator/examples/hello_world_sc/top.v
-share/verilator/examples/tracing_c/Makefile
-share/verilator/examples/tracing_c/Makefile_obj
-share/verilator/examples/tracing_c/input.vc
-share/verilator/examples/tracing_c/sim_main.cpp
-share/verilator/examples/tracing_c/sub.v
-share/verilator/examples/tracing_c/top.v
-share/verilator/examples/tracing_sc/Makefile
-share/verilator/examples/tracing_sc/Makefile_obj
-share/verilator/examples/tracing_sc/input.vc
-share/verilator/examples/tracing_sc/sc_main.cpp
-share/verilator/examples/tracing_sc/sub.v
-share/verilator/examples/tracing_sc/top.v
-share/verilator/include/gtkwave/fastlz.c
-share/verilator/include/gtkwave/fastlz.h
-share/verilator/include/gtkwave/fst_config.h
-share/verilator/include/gtkwave/fstapi.c
-share/verilator/include/gtkwave/fstapi.h
-share/verilator/include/gtkwave/lz4.c
-share/verilator/include/gtkwave/lz4.h
-share/verilator/include/gtkwave/wavealloca.h
-share/verilator/include/verilated.cpp
-share/verilator/include/verilated.h
-share/verilator/include/verilated.mk
-share/verilator/include/verilated.v
-share/verilator/include/verilated_config.h
-share/verilator/include/verilated_config.h.in
-share/verilator/include/verilated_cov.cpp
-share/verilator/include/verilated_cov.h
-share/verilator/include/verilated_cov_key.h
-share/verilator/include/verilated_dpi.cpp
-share/verilator/include/verilated_dpi.h
-share/verilator/include/verilated_fst_c.cpp
-share/verilator/include/verilated_fst_c.h
-share/verilator/include/verilated_heavy.h
-share/verilator/include/verilated_imp.h
-share/verilator/include/verilated_save.cpp
-share/verilator/include/verilated_save.h
-share/verilator/include/verilated_sc.h
-share/verilator/include/verilated_sym_props.h
-share/verilator/include/verilated_syms.h
-share/verilator/include/verilated_threads.cpp
-share/verilator/include/verilated_threads.h
-share/verilator/include/verilated_unordered_set_map.h
-share/verilator/include/verilated_vcd_c.cpp
-share/verilator/include/verilated_vcd_c.h
-share/verilator/include/verilated_vcd_sc.cpp
-share/verilator/include/verilated_vcd_sc.h
-share/verilator/include/verilated_vpi.cpp
-share/verilator/include/verilated_vpi.h
-share/verilator/include/verilatedos.h
-share/verilator/include/vltstd/svdpi.h
-share/verilator/include/vltstd/vpi_user.h
diff --git a/verilator/distinfo b/verilator/distinfo
deleted file mode 100644
index 7007abe0db..0000000000
--- a/verilator/distinfo
+++ /dev/null
@@ -1,9 +0,0 @@
-$NetBSD$
-
-SHA1 (verilator-4.016.tgz) = dce30a001574e743198179e4f95939d84b69c7f8
-RMD160 (verilator-4.016.tgz) = eba0e31b5b4a9769fb65b842c9a4b9d4b34ecfb6
-SHA512 (verilator-4.016.tgz) = 14bb1d0493103e702b1cbe0ea7c639c04cafa87f204952f88e629012dde1fcecf8e1e51569ff7a422b4dcb0566d0fae35acc681b2e47ae88fac6937362ff3254
-Size (verilator-4.016.tgz) = 2536449 bytes
-SHA1 (patch-Makefile.in) = 93d76b159e6dc2ce280a6edff794fc3d5d3a50d9
-SHA1 (patch-src_Makefile__obj.in) = 83058db4278fa25972b91f52f3eff79b4a457495
-SHA1 (patch-src_V3PreLex.h) = ed238e4bfe2ebe2dbdc6ee319e540471b859756a


Home | Main Index | Thread Index | Old Index