pkgsrc-WIP-changes archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

Remove all local copies of Icarus Verilog



Module Name:	pkgsrc-wip
Committed By:	Kamil Rytarowski <n54%gmx.com@localhost>
Pushed By:	kamil
Date:		Sun Oct 9 00:36:20 2016 +0200
Changeset:	d9abf00ee5d7303c40ce2baa452d761857680ae1

Removed Files:
	iverilog/DESCR
	iverilog/Makefile
	iverilog/PLIST
	iverilog/distinfo
	verilog-current/COMMIT_MSG
	verilog-current/DESCR
	verilog-current/Makefile
	verilog-current/PLIST
	verilog-current/buildlink3.mk
	verilog-current/distinfo
	verilog-current/patches/patch-ad
	verilog-current/patches/patch-vpi_Makefile.in
	verilog08/DESCR
	verilog08/Makefile
	verilog08/PLIST
	verilog08/TODO
	verilog08/buildlink3.mk
	verilog08/distinfo
	verilog08/patches/patch-ad
	verilog08/patches/patch-cadpli_Makefile_in
	verilog08/patches/patch-driver-vpi_Makefile_in
	verilog08/patches/patch-driver_Makefile_in
	verilog08/patches/patch-elab__net_cc
	verilog08/patches/patch-ivlpp_Makefile_in
	verilog08/patches/patch-libveriuser_Makefile_in
	verilog08/patches/patch-tgt-edif_Makefile_in
	verilog08/patches/patch-tgt-fpga_Makefile_in
	verilog08/patches/patch-tgt-null_Makefile_in
	verilog08/patches/patch-tgt-pal_Makefile_in
	verilog08/patches/patch-tgt-stub_Makefile_in
	verilog08/patches/patch-tgt-verilog_Makefile_in
	verilog08/patches/patch-vpi_Makefile_in
	verilog08/patches/patch-vvp_Makefile_in

Log Message:
Remove all local copies of Icarus Verilog

If 0.8 is still needed, please recreate it as wip/iverilog08 and import
to pkgsrc.

To see a diff of this commit:
https://wip.pkgsrc.org/cgi-bin/gitweb.cgi?p=pkgsrc-wip.git;a=commitdiff;h=d9abf00ee5d7303c40ce2baa452d761857680ae1

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

diffstat:
 iverilog/DESCR                                  |  3 -
 iverilog/Makefile                               | 26 --------
 iverilog/PLIST                                  | 56 ----------------
 iverilog/distinfo                               |  6 --
 verilog-current/COMMIT_MSG                      | 31 ---------
 verilog-current/DESCR                           | 16 -----
 verilog-current/Makefile                        | 87 -------------------------
 verilog-current/PLIST                           | 58 -----------------
 verilog-current/buildlink3.mk                   | 13 ----
 verilog-current/distinfo                        |  7 --
 verilog-current/patches/patch-ad                | 25 -------
 verilog-current/patches/patch-vpi_Makefile.in   | 23 -------
 verilog08/DESCR                                 | 14 ----
 verilog08/Makefile                              | 25 -------
 verilog08/PLIST                                 | 34 ----------
 verilog08/TODO                                  |  6 --
 verilog08/buildlink3.mk                         | 18 -----
 verilog08/distinfo                              | 20 ------
 verilog08/patches/patch-ad                      | 61 -----------------
 verilog08/patches/patch-cadpli_Makefile_in      | 19 ------
 verilog08/patches/patch-driver-vpi_Makefile_in  | 19 ------
 verilog08/patches/patch-driver_Makefile_in      | 27 --------
 verilog08/patches/patch-elab__net_cc            | 16 -----
 verilog08/patches/patch-ivlpp_Makefile_in       | 19 ------
 verilog08/patches/patch-libveriuser_Makefile_in | 19 ------
 verilog08/patches/patch-tgt-edif_Makefile_in    | 32 ---------
 verilog08/patches/patch-tgt-fpga_Makefile_in    | 37 -----------
 verilog08/patches/patch-tgt-null_Makefile_in    | 31 ---------
 verilog08/patches/patch-tgt-pal_Makefile_in     | 20 ------
 verilog08/patches/patch-tgt-stub_Makefile_in    | 28 --------
 verilog08/patches/patch-tgt-verilog_Makefile_in | 20 ------
 verilog08/patches/patch-vpi_Makefile_in         | 25 -------
 verilog08/patches/patch-vvp_Makefile_in         | 47 -------------
 33 files changed, 888 deletions(-)

diffs:
diff --git a/iverilog/DESCR b/iverilog/DESCR
deleted file mode 100644
index 318cdad..0000000
--- a/iverilog/DESCR
+++ /dev/null
@@ -1,3 +0,0 @@
-Icarus Verilog is a Verilog compiler that generates a variety of
-engineering formats, including simulation. It strives to be true
-to the IEEE-1364 standard.
diff --git a/iverilog/Makefile b/iverilog/Makefile
deleted file mode 100644
index d230be4..0000000
--- a/iverilog/Makefile
+++ /dev/null
@@ -1,26 +0,0 @@
-# $NetBSD$
-
-DISTNAME=	iverilog-10.1
-CATEGORIES=	lang devel
-MASTER_SITES=	${MASTER_SITE_GITHUB:=steveicarus/}
-GITHUB_TAG=	v${PKGVERSION_NOREV:S/./_/}
-
-MAINTAINER=	pkgsrc-users%NetBSD.org@localhost
-HOMEPAGE=	http://iverilog.icarus.com/
-COMMENT=	Verilog simulation and synthesis tool
-LICENSE=	gnu-gpl-v2
-
-USE_LANGUAGES=	c c++
-
-USE_TOOLS+=	autoconf gmake bison
-
-GNU_CONFIGURE=	yes
-
-TEST_TARGET=	check
-
-BUILD_DEPENDS+= gperf-[0-9]*:../../devel/gperf
-
-pre-configure:
-	${RUN} cd ${WRKSRC} && ${SH} ./autoconf.sh
-
-.include "../../mk/bsd.pkg.mk"
diff --git a/iverilog/PLIST b/iverilog/PLIST
deleted file mode 100644
index e2da4b0..0000000
--- a/iverilog/PLIST
+++ /dev/null
@@ -1,56 +0,0 @@
-@comment $NetBSD$
-bin/iverilog
-bin/iverilog-vpi
-bin/vvp
-include/iverilog/_pli_types.h
-include/iverilog/acc_user.h
-include/iverilog/ivl_target.h
-include/iverilog/sv_vpi_user.h
-include/iverilog/veriuser.h
-include/iverilog/vpi_user.h
-lib/ivl/blif-s.conf
-lib/ivl/blif.conf
-lib/ivl/blif.tgt
-lib/ivl/cadpli.vpl
-lib/ivl/include/constants.vams
-lib/ivl/include/disciplines.vams
-lib/ivl/ivl
-lib/ivl/ivlpp
-lib/ivl/null-s.conf
-lib/ivl/null.conf
-lib/ivl/null.tgt
-lib/ivl/pcb-s.conf
-lib/ivl/pcb.conf
-lib/ivl/pcb.tgt
-lib/ivl/sizer-s.conf
-lib/ivl/sizer.conf
-lib/ivl/sizer.tgt
-lib/ivl/stub-s.conf
-lib/ivl/stub.conf
-lib/ivl/stub.tgt
-lib/ivl/system.sft
-lib/ivl/system.vpi
-lib/ivl/v2005_math.sft
-lib/ivl/v2005_math.vpi
-lib/ivl/v2009.sft
-lib/ivl/v2009.vpi
-lib/ivl/va_math.sft
-lib/ivl/va_math.vpi
-lib/ivl/vhdl-s.conf
-lib/ivl/vhdl.conf
-lib/ivl/vhdl.tgt
-lib/ivl/vhdl_sys.sft
-lib/ivl/vhdl_sys.vpi
-lib/ivl/vhdlpp
-lib/ivl/vlog95-s.conf
-lib/ivl/vlog95.conf
-lib/ivl/vlog95.tgt
-lib/ivl/vpi_debug.vpi
-lib/ivl/vvp-s.conf
-lib/ivl/vvp.conf
-lib/ivl/vvp.tgt
-lib/libveriuser.a
-lib/libvpi.a
-man/man1/iverilog-vpi.1
-man/man1/iverilog.1
-man/man1/vvp.1
diff --git a/iverilog/distinfo b/iverilog/distinfo
deleted file mode 100644
index 1a5bd58..0000000
--- a/iverilog/distinfo
+++ /dev/null
@@ -1,6 +0,0 @@
-$NetBSD$
-
-SHA1 (iverilog-10.1.tar.gz) = 5aeabe8553bd42d5788f4ebba995c37b4bd966bc
-RMD160 (iverilog-10.1.tar.gz) = 90ae1db06dc309ce7afb94f75434e57c58a02596
-SHA512 (iverilog-10.1.tar.gz) = d1fa6e899ea2db3aadadbfc9317df40a8193fb846196d81f595f77500f03b26a426e8802bcfed28337644460a48a7f0bf6bfdb9c2656646d489e4d804f9e9314
-Size (iverilog-10.1.tar.gz) = 1586164 bytes
diff --git a/verilog-current/COMMIT_MSG b/verilog-current/COMMIT_MSG
deleted file mode 100644
index ed51443..0000000
--- a/verilog-current/COMMIT_MSG
+++ /dev/null
@@ -1,31 +0,0 @@
-[Iverilog-devel] Icarus Verilog snapshot 20130827
--------------------------------------------------
-Yep, I made a snapshot. The last time I made a snapshot is, according
-to my git logs, December of 2012. That's been a while. And since this
-is a snapshot of the master development branch, there is no way I can
-come up with a usefully descriptive list of bug fixes. But here is a
-list of grander points:
-
-*) Big chunks of SystemVerilog support, including various complex
-types, classes, packages, etc. Still a work in progress, but it
-should be a quite useable subset by now.
-
-*) Non-trivial chunks of VHDL (yes, VHDL) support. This is still
-very much a work in progress, more a harbinger of things to come
-then a useful tool at this point, but feedback is welcome.
-
-*) Added a new BLIF code generator. (ASIC guys may know what this
-means.) In the process, I've resuscitated some of the synthesis
-support in the core compiler. This turned out to be easier then
-I thought, so, to some degree, synthesis is back on the table.
-
-*) Cary has put a lot of work into his vlog95 code generator, he's
-been posting progress reports along the way.
-
-*) Tons, and tons, and more tons of bug fixes, especially from
-Cary and Martin, and others.
-
-Of course, I suspect a lot of people who are interested in snapshots
-are actually getting all of this by going directly to git, but it
-seems to me enough has piled on to go to another snapshot. So have
-at it!
\ No newline at end of file
diff --git a/verilog-current/DESCR b/verilog-current/DESCR
deleted file mode 100644
index 5b1676f..0000000
--- a/verilog-current/DESCR
+++ /dev/null
@@ -1,16 +0,0 @@
-Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a
-compiler, compiling source code writen in Verilog (IEEE-1364) into some target
-format. For batch simulation, the compiler can generate C++ code that is
-compiled and linked with a run time library (called "vvm") then executed as
-a command to run the simulation. For synthesis, the compiler generates
-netlists in the desired format.
-
-The compiler proper is intended to parse and elaborate design descriptions
-written to the IEEE standard IEEE Std 1364-1995. This is a fairly large and
-complex standard, so it will take some time for it to get there, but that's
-the goal. I'll be tracking the upcoming IEEE Std 1364-1999 revision as well,
-and some -1999 features will creep in.
-
-Please note that this package is a development snapshot and while it contains
-the latest and greatest features, it may be buggy as well.  There is a separate
-verilog package which is made of the stable releases.
diff --git a/verilog-current/Makefile b/verilog-current/Makefile
deleted file mode 100644
index f15ad03..0000000
--- a/verilog-current/Makefile
+++ /dev/null
@@ -1,87 +0,0 @@
-# $NetBSD: Makefile,v 1.8 2015/04/01 02:50:44 makoto Exp $
-
-DISTNAME=	verilog-${SNAPDATE}
-PKGNAME=	verilog-current-${SNAPDATE}
-CATEGORIES=	cad
-MASTER_SITES=	ftp://icarus.com/pub/eda/verilog/snapshots/
-
-MAINTAINER=	dmcmahill%NetBSD.org@localhost
-HOMEPAGE=	http://iverilog.icarus.com/
-COMMENT=	Verilog simulation and synthesis tool (development snapshot version)
-LICENSE=	gnu-gpl-v2
-
-CONFLICTS+=	verilog-[0-9]*
-SNAPDATE=	20150105
-
-GCC_REQD+=	3.0
-USE_LANGUAGES=	c c++
-USE_TOOLS+=		bison gmake lex
-GNU_CONFIGURE=		yes
-CONFIGURE_ARGS+=	--without-ipal
-INSTALLATION_DIRS+=	include/iverilog
-INSTALLATION_DIRS+=	lib/ivl
-INSTALLATION_DIRS+=	lib/ivl/include
-INSTALLATION_DIRS+=	share/ivl
-
-TEST_DIRS=		.
-TEST_TARGET=		check
-
-SUBST_CLASSES+=		dep
-SUBST_STAGE.dep=	pre-configure
-SUBST_MESSAGE.dep=	Resolve dependency for dep directory (mkdir dep before compile)
-SUBST_FILES.dep=	\
-			cadpli/Makefile.in \
-			tgt-blif/Makefile.in \
-			tgt-fpga/Makefile.in \
-			tgt-null/Makefile.in \
-			tgt-pal/Makefile.in \
-			tgt-pcb/Makefile.in \
-			tgt-stub/Makefile.in \
-			tgt-verilog/Makefile.in \
-			tgt-vhdl/Makefile.in \
-			tgt-vlog95/Makefile.in \
-			tgt-vvp/Makefile.in \
-
-SUBST_SED.dep=		-e 's,%.o: %.c,%.o: %.c dep,'
-
-# probably more simple fix with small patches, but interim solution:
-post-install:
-	(cd ${WRKSRC}; ${INSTALL_DATA} \
-		QUICK_START.txt \
-		README.txt      \
-	${DESTDIR}${PREFIX}/share/ivl )
-# include/iverilog
-	(cd ${WRKSRC}; ${INSTALL_DATA} \
-		acc_user.h ivl_target.h sv_vpi_user.h veriuser.h vpi_user.h \
-	${DESTDIR}${PREFIX}/include/iverilog )
-# lib/ivl
-	(cd ${WRKSRC}; ${INSTALL_DATA} \
-		tgt-blif/blif-s.conf \
-		tgt-blif/blif.conf \
-		tgt-null/null-s.conf \
-		tgt-null/null.conf \
-		tgt-pcb/pcb-s.conf \
-		tgt-pcb/pcb.conf \
-		tgt-stub/stub-s.conf \
-		tgt-stub/stub.conf \
-		tgt-vhdl/vhdl-s.conf \
-		tgt-vhdl/vhdl.conf \
-		tgt-vlog95/vlog95-s.conf \
-		tgt-vlog95/vlog95.conf \
-		vpi/system.sft \
-		vpi/v2005_math.sft \
-		vpi/v2009.sft \
-		vpi/va_math.sft \
-		vpi/vhdl_sys.sft \
-	${DESTDIR}${PREFIX}/lib/ivl )
-# lib/ivl/include
-	(cd ${WRKSRC}; ${INSTALL_DATA} \
-		constants.vams \
-		disciplines.vams \
-	${DESTDIR}${PREFIX}/lib/ivl/include )
-
-.include "../../devel/zlib/buildlink3.mk"
-.include "../../archivers/bzip2/buildlink3.mk"
-.include "../../devel/gperf/buildlink3.mk"
-.include "../../mk/readline.buildlink3.mk"
-.include "../../mk/bsd.pkg.mk"
diff --git a/verilog-current/PLIST b/verilog-current/PLIST
deleted file mode 100644
index a3b2dad..0000000
--- a/verilog-current/PLIST
+++ /dev/null
@@ -1,58 +0,0 @@
-@comment $NetBSD: PLIST,v 1.2 2014/12/29 10:56:18 makoto Exp $
-bin/iverilog
-bin/iverilog-vpi
-bin/vvp
-include/iverilog/_pli_types.h
-include/iverilog/acc_user.h
-include/iverilog/ivl_target.h
-include/iverilog/sv_vpi_user.h
-include/iverilog/veriuser.h
-include/iverilog/vpi_user.h
-lib/ivl/blif-s.conf
-lib/ivl/blif.conf
-lib/ivl/blif.tgt
-lib/ivl/cadpli.vpl
-lib/ivl/include/constants.vams
-lib/ivl/include/disciplines.vams
-lib/ivl/ivl
-lib/ivl/ivlpp
-lib/ivl/null-s.conf
-lib/ivl/null.conf
-lib/ivl/null.tgt
-lib/ivl/pcb-s.conf
-lib/ivl/pcb.conf
-lib/ivl/pcb.tgt
-lib/ivl/sizer-s.conf
-lib/ivl/sizer.conf
-lib/ivl/sizer.tgt
-lib/ivl/stub-s.conf
-lib/ivl/stub.conf
-lib/ivl/stub.tgt
-lib/ivl/system.sft
-lib/ivl/system.vpi
-lib/ivl/v2005_math.sft
-lib/ivl/v2005_math.vpi
-lib/ivl/v2009.sft
-lib/ivl/v2009.vpi
-lib/ivl/va_math.sft
-lib/ivl/va_math.vpi
-lib/ivl/vhdl-s.conf
-lib/ivl/vhdl.conf
-lib/ivl/vhdl.tgt
-lib/ivl/vhdl_sys.sft
-lib/ivl/vhdl_sys.vpi
-lib/ivl/vhdlpp
-lib/ivl/vlog95-s.conf
-lib/ivl/vlog95.conf
-lib/ivl/vlog95.tgt
-lib/ivl/vpi_debug.vpi
-lib/ivl/vvp-s.conf
-lib/ivl/vvp.conf
-lib/ivl/vvp.tgt
-lib/libveriuser.a
-lib/libvpi.a
-man/man1/iverilog-vpi.1
-man/man1/iverilog.1
-man/man1/vvp.1
-share/ivl/QUICK_START.txt
-share/ivl/README.txt
diff --git a/verilog-current/buildlink3.mk b/verilog-current/buildlink3.mk
deleted file mode 100644
index 834ba68..0000000
--- a/verilog-current/buildlink3.mk
+++ /dev/null
@@ -1,13 +0,0 @@
-# $NetBSD: buildlink3.mk,v 1.1 2013/12/20 02:19:53 makoto Exp $
-
-BUILDLINK_TREE+=	verilog-current
-
-.if !defined(VERILOG_CURRENT_BUILDLINK3_MK)
-VERILOG_CURRENT_BUILDLINK3_MK:=
-
-BUILDLINK_API_DEPENDS.verilog-current+=	verilog-current>=20021019
-BUILDLINK_ABI_DEPENDS.verilog-current+=	verilog-current>=20060809
-BUILDLINK_PKGSRCDIR.verilog-current?=	../../cad/verilog-current
-.endif # VERILOG_CURRENT_BUILDLINK3_MK
-
-BUILDLINK_TREE+=	-verilog-current
diff --git a/verilog-current/distinfo b/verilog-current/distinfo
deleted file mode 100644
index dcfacf3..0000000
--- a/verilog-current/distinfo
+++ /dev/null
@@ -1,7 +0,0 @@
-$NetBSD: distinfo,v 1.4 2015/04/01 02:40:52 makoto Exp $
-
-SHA1 (verilog-20150105.tar.gz) = be40492878f2597659ff0165d1dd72c0cfd5ab38
-RMD160 (verilog-20150105.tar.gz) = d05cee4ade030fe3583c9edbdef923537fc94ce8
-Size (verilog-20150105.tar.gz) = 1660568 bytes
-SHA1 (patch-ad) = 54c9b292a4b7085d287d7251fdda3b06d6ef04a4
-SHA1 (patch-vpi_Makefile.in) = 7ce1e645119fa9500cc6e153b85160dce1c738d5
diff --git a/verilog-current/patches/patch-ad b/verilog-current/patches/patch-ad
deleted file mode 100644
index 457af09..0000000
--- a/verilog-current/patches/patch-ad
+++ /dev/null
@@ -1,25 +0,0 @@
-$NetBSD: patch-ad,v 1.1 2013/12/20 02:19:53 makoto Exp $
-
-(1.9)
-make sure no one sneaks a -O* in on us via one of these variables
-set in the environment
-
---- Makefile.in.orig	2013-08-28 11:55:20.000000000 +0900
-+++ Makefile.in	2013-12-20 10:04:54.000000000 +0900
-@@ -248,7 +248,16 @@
- 
- lexor.o: lexor.cc parse.h
- 
-+# make sure no one sneaks a -O* in on us via one of these variables
-+# set in the environment
-+CXX_NOOPT=$(CXX:-O%=)
-+CPPFLAGS_NOOPT=$(CPPFLAGS:-O%=)
-+CXXFLAGS_NOOPT=$(CXXFLAGS:-O%=)
-+
- parse.o: parse.cc
-+	@[ -d dep ] || mkdir dep
-+	$(CXX_NOOPT) $(CPPFLAGS_NOOPT) $(CXXFLAGS_NOOPT) -MD -c $< -o $*.o
-+	mv $*.d dep/$*.d
- 
- # Build this in two steps to avoid parallel build issues (see pr3462585)
- parse.cc: $(srcdir)/parse.y
diff --git a/verilog-current/patches/patch-vpi_Makefile.in b/verilog-current/patches/patch-vpi_Makefile.in
deleted file mode 100644
index 08ac62b..0000000
--- a/verilog-current/patches/patch-vpi_Makefile.in
+++ /dev/null
@@ -1,23 +0,0 @@
-$NetBSD: patch-vpi_Makefile.in,v 1.1 2013/12/20 06:09:41 makoto Exp $
-
-Fix (MAKE_JOBS_SAFE= yes) problem:
-gcc -I. -I..  -I/usr/include -I/usr/pkg/include -DHAVE_CONFIG_H -fPIC -Wall -Wshadow  -O2 -I/usr/include -I/usr/pkg/include -MD -c sys_fileio.c -o sys_fileio.o
-: Not a directory
-gmake[1]: *** [dep] Error 1
-
---- vpi/Makefile.in.orig	2013-08-28 11:55:20.000000000 +0900
-+++ vpi/Makefile.in	2013-12-20 11:49:42.000000000 +0900
-@@ -104,11 +104,11 @@ Makefile: $(srcdir)/Makefile.in ../confi
- dep:
- 	mkdir dep
- 
--%.o: %.c vpi_config.h
-+%.o: %.c vpi_config.h dep
- 	$(CC) $(CPPFLAGS) $(CFLAGS) @DEPENDENCY_FLAG@ -c $< -o $*.o
- 	mv $*.d dep
- 
--%.o: %.cc vpi_config.h
-+%.o: %.cc vpi_config.h dep
- 	$(CXX) $(CPPFLAGS) $(CXXFLAGS) @DEPENDENCY_FLAG@ -c $< -o $*.o
- 	mv $*.d dep
- 
diff --git a/verilog08/DESCR b/verilog08/DESCR
deleted file mode 100644
index a100c05..0000000
--- a/verilog08/DESCR
+++ /dev/null
@@ -1,14 +0,0 @@
-Verilog-0.8 series has synthesize capability to xnf (Xilinx)  and fpga(EDIF).
-
-Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a
-compiler, compiling source code writen in Verilog (IEEE-1364) into some target
-format. For batch simulation, the compiler can generate C++ code that is
-compiled and linked with a run time library (called "vvm") then executed as
-a command to run the simulation. For synthesis, the compiler generates
-netlists in the desired format.
-
-The compiler proper is intended to parse and elaborate design descriptions
-written to the IEEE standard IEEE Std 1364-1995. This is a fairly large and
-complex standard, so it will take some time for it to get there, but that's
-the goal. I'll be tracking the upcoming IEEE Std 1364-1999 revision as well,
-and some -1999 features will creep in.
diff --git a/verilog08/Makefile b/verilog08/Makefile
deleted file mode 100644
index 9a6fd06..0000000
--- a/verilog08/Makefile
+++ /dev/null
@@ -1,25 +0,0 @@
-# $NetBSD: Makefile,v 1.3 2014/10/09 14:06:32 thomasklausner Exp $
-#
-
-DISTNAME=	verilog-0.8.7
-PKGNAME=	${DISTNAME:C/verilog/verilog08/}
-CATEGORIES=	cad
-MASTER_SITES=	ftp://icarus.com/pub/eda/verilog/v0.8/
-
-MAINTAINER=	pkgsrc-users%NetBSD.org@localhost
-HOMEPAGE=	http://icarus.com/eda/verilog/index.html
-COMMENT=	Verilog simulation and synthesis tool (old version of 0.8)
-LICENSE=	gnu-gpl-v2
-
-USE_LANGUAGES=	c c++
-
-GNU_CONFIGURE=		yes
-USE_TOOLS+=		gmake bison lex
-CONFIGURE_ARGS+=	--without-ipal
-TEST_TARGET=		check
-
-.include "../../devel/zlib/buildlink3.mk"
-.include "../../archivers/bzip2/buildlink3.mk"
-.include "../../devel/gperf/buildlink3.mk"
-.include "../../devel/readline/buildlink3.mk"
-.include "../../mk/bsd.pkg.mk"
diff --git a/verilog08/PLIST b/verilog08/PLIST
deleted file mode 100644
index 0d5401a..0000000
--- a/verilog08/PLIST
+++ /dev/null
@@ -1,34 +0,0 @@
-@comment $NetBSD: PLIST,v 1.1 2014/08/20 11:37:00 makoto Exp $
-bin/iverilog-0.8
-bin/iverilog-vpi-0.8
-bin/vvp-0.8
-include/iverilog-0.8/_pli_types.h
-include/iverilog-0.8/acc_user.h
-include/iverilog-0.8/ivl_target.h
-include/iverilog-0.8/veriuser.h
-include/iverilog-0.8/vpi_user.h
-lib/ivl-0.8/cadpli.vpl
-lib/ivl-0.8/edif-s.conf
-lib/ivl-0.8/edif.conf
-lib/ivl-0.8/edif.tgt
-lib/ivl-0.8/fpga-s.conf
-lib/ivl-0.8/fpga.conf
-lib/ivl-0.8/fpga.tgt
-lib/ivl-0.8/ivl
-lib/ivl-0.8/ivlpp
-lib/ivl-0.8/null-s.conf
-lib/ivl-0.8/null.conf
-lib/ivl-0.8/null.tgt
-lib/ivl-0.8/system.sft
-lib/ivl-0.8/system.vpi
-lib/ivl-0.8/vvp-s.conf
-lib/ivl-0.8/vvp.conf
-lib/ivl-0.8/vvp.tgt
-lib/ivl-0.8/xnf-s.conf
-lib/ivl-0.8/xnf.conf
-lib/libveriuser-0.8.a
-lib/libvpi-0.8.a
-man/man1/iverilog-fpga-0.8.1
-man/man1/iverilog-vpi-0.8.1
-man/man1/iverilog-0.8.1
-man/man1/vvp-0.8.1
diff --git a/verilog08/TODO b/verilog08/TODO
deleted file mode 100644
index 2b43f60..0000000
--- a/verilog08/TODO
+++ /dev/null
@@ -1,6 +0,0 @@
-- Verilog 0.8 revived. Newer version said dropped the
-  synthesis capability.
-- But no co-existing mechnism with Verilog-0.9 (yet)
-  ... Yes, seems OK ...
-  All executable's, include's, lib's and even man have -0.8 suffix
-- Ready to import to pkgsrc proper ?
diff --git a/verilog08/buildlink3.mk b/verilog08/buildlink3.mk
deleted file mode 100644
index 362093b..0000000
--- a/verilog08/buildlink3.mk
+++ /dev/null
@@ -1,18 +0,0 @@
-# $NetBSD: buildlink3.mk,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-BUILDLINK_TREE+=	verilog08
-
-.if !defined(VERILOG08_BUILDLINK3_MK)
-VERILOG08_BUILDLINK3_MK:=
-
-BUILDLINK_API_DEPENDS.verilog08+=	verilog>=0.8.1nb1
-BUILDLINK_ABI_DEPENDS.verilog08+=	verilog>=0.8.7nb1
-BUILDLINK_PKGSRCDIR.verilog08?=	../../wip/verilog08
-
-.include "../../devel/zlib/buildlink3.mk"
-.include "../../archivers/bzip2/buildlink3.mk"
-.include "../../devel/gperf/buildlink3.mk"
-.include "../../mk/readline.buildlink3.mk"
-.endif # VERILOG_BUILDLINK3_MK
-
-BUILDLINK_TREE+=	-verilog08
diff --git a/verilog08/distinfo b/verilog08/distinfo
deleted file mode 100644
index a4812b9..0000000
--- a/verilog08/distinfo
+++ /dev/null
@@ -1,20 +0,0 @@
-$NetBSD: distinfo,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-SHA1 (verilog-0.8.7.tar.gz) = 814f12a99463a637cb13e0d86755f762c5d90270
-RMD160 (verilog-0.8.7.tar.gz) = 63fb4f9e1e85157010d480e5d66513d6c9ac4326
-Size (verilog-0.8.7.tar.gz) = 1273972 bytes
-SHA1 (patch-ad) = 909463388ea6bfd5b63a291cfa791da6f8c8f215
-SHA1 (patch-cadpli_Makefile_in) = 938f0d1eb2d9665814be538b2f392eb1eaf02aef
-SHA1 (patch-driver-vpi_Makefile_in) = 30bc59721b276c207e8ffbf38413ac04d6d3b1ac
-SHA1 (patch-driver_Makefile_in) = b6a16b7aa5f7c31ee002a7bf2f54291eccce6ccf
-SHA1 (patch-elab__net_cc) = 2ce14f39997ad5cf49a668c7ff386dba1c40261d
-SHA1 (patch-ivlpp_Makefile_in) = 68b8849de075511a41c2cab7d8dac1938fb015df
-SHA1 (patch-libveriuser_Makefile_in) = 39579b6b61797a5f2a491e8562a846d9e31553c3
-SHA1 (patch-tgt-edif_Makefile_in) = 0de6b5af64fb79c92fc7e9546aa5f9f1f1c9ce79
-SHA1 (patch-tgt-fpga_Makefile_in) = c58175877a597d9e5d8aa4ee7c83c1c8689a6988
-SHA1 (patch-tgt-null_Makefile_in) = 689e3c94ebf6e31854fcbefe0f1d9046f92c2576
-SHA1 (patch-tgt-pal_Makefile_in) = 937630191b18a6f6f2bbbaa5f8c25b3848b69dbd
-SHA1 (patch-tgt-stub_Makefile_in) = 9cd13ce6a2bfe3379d20655e732f33b1203eb23c
-SHA1 (patch-tgt-verilog_Makefile_in) = 7e7cdb85870f0ee82a6ad538225fb12d987624e0
-SHA1 (patch-vpi_Makefile_in) = 439171cbcb60b8254c911b79b114543279314e52
-SHA1 (patch-vvp_Makefile_in) = 3c22fb08eec4ef8a9df7ce60391c2f0a1e57ff41
diff --git a/verilog08/patches/patch-ad b/verilog08/patches/patch-ad
deleted file mode 100644
index 7700a06..0000000
--- a/verilog08/patches/patch-ad
+++ /dev/null
@@ -1,61 +0,0 @@
-$NetBSD: patch-ad,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-(1) See below comment lines
-(2) user-destdir support
-
---- ./Makefile.in.orig	2014-08-20 16:52:38.000000000 +0900
-+++ ./Makefile.in	2014-08-20 17:01:01.000000000 +0900
-@@ -176,8 +176,19 @@
- 
- lexor.o: lexor.cc parse.h
- 
--parse.o: parse.cc
-+ 
-+# work around buggy compilers when compiling the parser with optimization
-+# make sure no one sneaks a -O* in on us via one of these variables
-+# set in the environment
-+CXX_NOOPT=$(CXX:-O%=)
-+CPPFLAGS_NOOPT=$(CPPFLAGS:-O%=)
-+CXXFLAGS_NOOPT=$(CXXFLAGS:-O%=)
- 
-+parse.o: parse.cc
-+	@[ -d dep ] || mkdir dep
-+	$(CXX_NOOPT) $(CPPFLAGS_NOOPT) $(CXXFLAGS_NOOPT) -MD -c $< -o $*.o
-+	mv $*.d dep/$*.d
-+ 
- parse.cc parse.h: $(srcdir)/parse.y
- 	$(YACC) --verbose -t -p VL -d -o parse.cc $(srcdir)/parse.y
- 	mv parse.cc.h parse.h 2>/dev/null || mv parse.hh parse.h
-@@ -239,25 +250,25 @@
- 	$(INSTALL_PROGRAM) ./ivl@EXEEXT@ $(DESTDIR)$(libdir)/ivl$(suffix)/ivl@EXEEXT@
- 
- $(libdir)/ivl$(suffix)/xnf-s.conf: $(srcdir)/xnf-s.conf
--	$(INSTALL_DATA) $(srcdir)/xnf-s.conf $(libdir)/ivl$(suffix)/xnf-s.conf
-+	$(INSTALL_DATA) $(srcdir)/xnf-s.conf $(DESTDIR)$(libdir)/ivl$(suffix)/xnf-s.conf
- 
- $(libdir)/ivl$(suffix)/xnf.conf: $(srcdir)/xnf.conf
--	$(INSTALL_DATA) $(srcdir)/xnf.conf $(libdir)/ivl$(suffix)/xnf.conf
-+	$(INSTALL_DATA) $(srcdir)/xnf.conf $(DESTDIR)$(libdir)/ivl$(suffix)/xnf.conf
- 
- $(includedir)/ivl_target.h: $(srcdir)/ivl_target.h
--	$(INSTALL_DATA) $(srcdir)/ivl_target.h $(includedir)/ivl_target.h
-+	$(INSTALL_DATA) $(srcdir)/ivl_target.h $(DESTDIR)$(includedir)/ivl_target.h
- 
- $(includedir)/_pli_types.h: _pli_types.h
--	$(INSTALL_DATA) $< $(includedir)/_pli_types.h
-+	$(INSTALL_DATA) $< $(DESTDIR)$(includedir)/_pli_types.h
- 
- $(includedir)/vpi_user.h: $(srcdir)/vpi_user.h
--	$(INSTALL_DATA) $(srcdir)/vpi_user.h $(includedir)/vpi_user.h
-+	$(INSTALL_DATA) $(srcdir)/vpi_user.h $(DESTDIR)$(includedir)/vpi_user.h
- 
- $(includedir)/acc_user.h: $(srcdir)/acc_user.h
--	$(INSTALL_DATA) $(srcdir)/acc_user.h $(includedir)/acc_user.h
-+	$(INSTALL_DATA) $(srcdir)/acc_user.h $(DESTDIR)$(includedir)/acc_user.h
- 
- $(includedir)/veriuser.h: $(srcdir)/veriuser.h
--	$(INSTALL_DATA) $(srcdir)/veriuser.h $(includedir)/veriuser.h
-+	$(INSTALL_DATA) $(srcdir)/veriuser.h $(DESTDIR)$(includedir)/veriuser.h
- 
- $(mandir)/man1/iverilog-vpi$(suffix).1: $(srcdir)/iverilog-vpi.man
- 	$(INSTALL_DATA) $(srcdir)/iverilog-vpi.man $(DESTDIR)$(mandir)/man1/iverilog-vpi$(suffix).1
diff --git a/verilog08/patches/patch-cadpli_Makefile_in b/verilog08/patches/patch-cadpli_Makefile_in
deleted file mode 100644
index ae0d538..0000000
--- a/verilog08/patches/patch-cadpli_Makefile_in
+++ /dev/null
@@ -1,19 +0,0 @@
-$NetBSD: patch-cadpli_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- cadpli/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ cadpli/Makefile.in	2014-08-20 17:20:48.000000000 +0900
-@@ -91,10 +91,10 @@ distclean: clean
- install: all installdirs $(vpidir)/cadpli.vpl $(INSTALL32)
- 
- $(vpidir)/cadpli.vpl: ./cadpli.vpl
--	$(INSTALL_PROGRAM) ./cadpli.vpl $(vpidir)/cadpli.vpl
-+	$(INSTALL_PROGRAM) ./cadpli.vpl $(DESTDIR)$(vpidir)/cadpli.vpl
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(vpidir)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(vpidir)
- 
- uninstall: $(UNINSTALL32)
- 	rm -f $(vpidir)/cadpli.vpl
diff --git a/verilog08/patches/patch-driver-vpi_Makefile_in b/verilog08/patches/patch-driver-vpi_Makefile_in
deleted file mode 100644
index 0630b6f..0000000
--- a/verilog08/patches/patch-driver-vpi_Makefile_in
+++ /dev/null
@@ -1,19 +0,0 @@
-$NetBSD: patch-driver-vpi_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- driver-vpi/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ driver-vpi/Makefile.in	2014-08-20 18:03:13.000000000 +0900
-@@ -79,10 +79,10 @@ res.o: res.rc
- install: all installdirs $(bindir)/iverilog-vpi$(suffix)@EXEEXT@
- 
- $(bindir)/iverilog-vpi$(suffix)@EXEEXT@: ./iverilog-vpi@EXEEXT@
--	$(INSTALL_PROGRAM) ./iverilog-vpi@EXEEXT@ $(bindir)/iverilog-vpi$(suffix)@EXEEXT@
-+	$(INSTALL_PROGRAM) ./iverilog-vpi@EXEEXT@ $(DESTDIR)$(bindir)/iverilog-vpi$(suffix)@EXEEXT@
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(bindir)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(bindir)
- 
- uninstall:
- 	rm -f $(bindir)/iverilog-vpi$(suffix)@EXEEXT@
diff --git a/verilog08/patches/patch-driver_Makefile_in b/verilog08/patches/patch-driver_Makefile_in
deleted file mode 100644
index edc4433..0000000
--- a/verilog08/patches/patch-driver_Makefile_in
+++ /dev/null
@@ -1,27 +0,0 @@
-$NetBSD: patch-driver_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- driver/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ driver/Makefile.in	2014-08-20 18:02:22.000000000 +0900
-@@ -103,16 +103,16 @@ endif
- install: all installdirs $(bindir)/iverilog$(suffix)@EXEEXT@ $(INSTALL_DOC)
- 
- $(bindir)/iverilog$(suffix)@EXEEXT@: ./iverilog@EXEEXT@
--	$(INSTALL_PROGRAM) ./iverilog@EXEEXT@ $(bindir)/iverilog$(suffix)@EXEEXT@
-+	$(INSTALL_PROGRAM) ./iverilog@EXEEXT@ $(DESTDIR)$(bindir)/iverilog$(suffix)@EXEEXT@
- 
- $(mandir)/man1/iverilog$(suffix).1: $(srcdir)/iverilog.man
--	$(INSTALL_DATA) $(srcdir)/iverilog.man $(mandir)/man1/iverilog$(suffix).1
-+	$(INSTALL_DATA) $(srcdir)/iverilog.man $(DESTDIR)$(mandir)/man1/iverilog$(suffix).1
- 
- $(prefix)/iverilog$(suffix).pdf: iverilog.pdf
--	$(INSTALL_DATA) iverilog.pdf $(prefix)/iverilog$(suffix).pdf
-+	$(INSTALL_DATA) iverilog.pdf $(DESTDIR)$(prefix)/iverilog$(suffix).pdf
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(bindir) $(INSTALL_DOCDIR)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(bindir) $(DESTDIR)$(INSTALL_DOCDIR)
- 
- uninstall:
- 	rm -f $(bindir)/iverilog$(suffix)@EXEEXT@
diff --git a/verilog08/patches/patch-elab__net_cc b/verilog08/patches/patch-elab__net_cc
deleted file mode 100644
index 1963bb8..0000000
--- a/verilog08/patches/patch-elab__net_cc
+++ /dev/null
@@ -1,16 +0,0 @@
-$NetBSD: patch-elab__net_cc,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-elab_net.cc:1323:6: error: 'auto_ptr' was not declared in this scope
-elab_net.cc:1323:22: error: expected primary-expression before '>' token
-elab_net.cc:1323:64: error: 'etmp' was not declared in this scope
-
---- elab_net.cc~	2008-12-10 12:21:48.000000000 +0900
-+++ elab_net.cc	2014-08-20 17:08:45.000000000 +0900
-@@ -29,6 +29,7 @@
- 
- # include  <iostream>
- # include  <cstring>
-+# include  <memory>
- 
- /*
-  * This is a state flag that determines whether an elaborate_net must
diff --git a/verilog08/patches/patch-ivlpp_Makefile_in b/verilog08/patches/patch-ivlpp_Makefile_in
deleted file mode 100644
index 501a5ea..0000000
--- a/verilog08/patches/patch-ivlpp_Makefile_in
+++ /dev/null
@@ -1,19 +0,0 @@
-$NetBSD: patch-ivlpp_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- ivlpp/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ ivlpp/Makefile.in	2014-08-20 18:11:33.000000000 +0900
-@@ -66,10 +66,10 @@ parse.h parse.c: parse.y
- install: all installdirs $(libdir)/ivl$(suffix)/ivlpp
- 
- $(libdir)/ivl$(suffix)/ivlpp@EXEEXT@: ivlpp@EXEEXT@
--	$(INSTALL_PROGRAM) ./ivlpp@EXEEXT@ $(libdir)/ivl$(suffix)/ivlpp@EXEEXT@
-+	$(INSTALL_PROGRAM) ./ivlpp@EXEEXT@ $(DESTDIR)$(libdir)/ivl$(suffix)/ivlpp@EXEEXT@
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(libdir)/ivl$(suffix)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(libdir)/ivl$(suffix)
- 
- uninstall:
- 	rm -f $(libdir)/ivl$(suffix)/ivlpp@EXEEXT@
diff --git a/verilog08/patches/patch-libveriuser_Makefile_in b/verilog08/patches/patch-libveriuser_Makefile_in
deleted file mode 100644
index 1d7d7f1..0000000
--- a/verilog08/patches/patch-libveriuser_Makefile_in
+++ /dev/null
@@ -1,19 +0,0 @@
-$NetBSD: patch-libveriuser_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- libveriuser/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ libveriuser/Makefile.in	2014-08-20 18:41:59.000000000 +0900
-@@ -99,10 +99,10 @@ distclean: clean
- install:: all installdirs $(libdir64)/libveriuser$(suffix).a $(INSTALL32)
- 
- $(libdir64)/libveriuser$(suffix).a: ./libveriuser.a
--	$(INSTALL_DATA) ./libveriuser.a $(libdir64)/libveriuser$(suffix).a
-+	$(INSTALL_DATA) ./libveriuser.a $(DESTDIR)$(libdir64)/libveriuser$(suffix).a
- 
- installdirs: mkinstalldirs
--	$(srcdir)/mkinstalldirs $(includedir) $(libdir64)
-+	$(srcdir)/mkinstalldirs $(DESTDIR)$(includedir) $(DESTDIR)$(libdir64)
- 
- uninstall::
- 	rm -f $(libdir64)/libveriuser$(suffix).a
diff --git a/verilog08/patches/patch-tgt-edif_Makefile_in b/verilog08/patches/patch-tgt-edif_Makefile_in
deleted file mode 100644
index 417a89e..0000000
--- a/verilog08/patches/patch-tgt-edif_Makefile_in
+++ /dev/null
@@ -1,32 +0,0 @@
-$NetBSD: patch-tgt-edif_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- tgt-edif/Makefile.in.orig	2008-12-10 12:21:48.000000000 +0900
-+++ tgt-edif/Makefile.in	2014-08-20 18:09:16.000000000 +0900
-@@ -121,13 +121,13 @@ endif
- install: all installdirs $(libdir)/ivl$(suffix)/edif.tgt $(INSTALL_DOC) $(libdir)/ivl$(suffix)/edif.conf $(libdir)/ivl$(suffix)/edif-s.conf
- 
- $(libdir)/ivl$(suffix)/edif.tgt: ./edif.tgt
--	$(INSTALL_PROGRAM) ./edif.tgt $(libdir)/ivl$(suffix)/edif.tgt
-+	$(INSTALL_PROGRAM) ./edif.tgt $(DESTDIR)$(libdir)/ivl$(suffix)/edif.tgt
- 
- $(libdir)/ivl$(suffix)/edif.conf: $(srcdir)/edif.conf
--	$(INSTALL_DATA) $(srcdir)/edif.conf $(libdir)/ivl$(suffix)/edif.conf
-+	$(INSTALL_DATA) $(srcdir)/edif.conf $(DESTDIR)$(libdir)/ivl$(suffix)/edif.conf
- 
- $(libdir)/ivl$(suffix)/edif-s.conf: $(srcdir)/edif-s.conf
--	$(INSTALL_DATA) $(srcdir)/edif-s.conf $(libdir)/ivl$(suffix)/edif-s.conf
-+	$(INSTALL_DATA) $(srcdir)/edif-s.conf $(DESTDIR)$(libdir)/ivl$(suffix)/edif-s.conf
- 
- 
- $(mandir)/man1/iverilog-edif$(suffix).1: $(srcdir)/iverilog-edif.man
-@@ -137,7 +137,7 @@ $(prefix)/iverilog-edif$(suffix).pdf: iv
- 	$(INSTALL_DATA) iverilog-edif.pdf $(prefix)/iverilog-edif$(suffix).pdf
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(libdir)/ivl$(suffix)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(libdir)/ivl$(suffix)
- 
- uninstall:
- 	rm -f $(libdir)/ivl$(suffix)/edif.tgt
diff --git a/verilog08/patches/patch-tgt-fpga_Makefile_in b/verilog08/patches/patch-tgt-fpga_Makefile_in
deleted file mode 100644
index 6ff5306..0000000
--- a/verilog08/patches/patch-tgt-fpga_Makefile_in
+++ /dev/null
@@ -1,37 +0,0 @@
-$NetBSD: patch-tgt-fpga_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- tgt-fpga/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ tgt-fpga/Makefile.in	2014-08-20 17:46:40.000000000 +0900
-@@ -110,23 +110,23 @@ endif
- install: all installdirs $(libdir)/ivl$(suffix)/fpga.tgt $(INSTALL_DOC) $(libdir)/ivl$(suffix)/fpga.conf $(libdir)/ivl$(suffix)/fpga-s.conf
- 
- $(libdir)/ivl$(suffix)/fpga.tgt: ./fpga.tgt
--	$(INSTALL_PROGRAM) ./fpga.tgt $(libdir)/ivl$(suffix)/fpga.tgt
-+	$(INSTALL_PROGRAM) ./fpga.tgt $(DESTDIR)$(libdir)/ivl$(suffix)/fpga.tgt
- 
- $(libdir)/ivl$(suffix)/fpga.conf: $(srcdir)/fpga.conf
--	$(INSTALL_DATA) $(srcdir)/fpga.conf $(libdir)/ivl$(suffix)/fpga.conf
-+	$(INSTALL_DATA) $(srcdir)/fpga.conf $(DESTDIR)$(libdir)/ivl$(suffix)/fpga.conf
- 
- $(libdir)/ivl$(suffix)/fpga-s.conf: $(srcdir)/fpga-s.conf
--	$(INSTALL_DATA) $(srcdir)/fpga-s.conf $(libdir)/ivl$(suffix)/fpga-s.conf
-+	$(INSTALL_DATA) $(srcdir)/fpga-s.conf $(DESTDIR)$(libdir)/ivl$(suffix)/fpga-s.conf
- 
- 
- $(mandir)/man1/iverilog-fpga$(suffix).1: $(srcdir)/iverilog-fpga.man
--	$(INSTALL_DATA) $(srcdir)/iverilog-fpga.man $(mandir)/man1/iverilog-fpga$(suffix).1
-+	$(INSTALL_DATA) $(srcdir)/iverilog-fpga.man $(DESTDIR)$(mandir)/man1/iverilog-fpga$(suffix).1
- 
--$(prefix)/iverilog-fpga$(suffix).pdf: iverilog-fpga.pdf
--	$(INSTALL_DATA) iverilog-fpga.pdf $(prefix)/iverilog-fpga$(suffix).pdf
-+$(DESTDIR)$(prefix)/iverilog-fpga$(suffix).pdf: iverilog-fpga.pdf
-+	$(INSTALL_DATA) iverilog-fpga.pdf $(DESTDIR)$(prefix)/iverilog-fpga$(suffix).pdf
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(libdir)/ivl$(suffix)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(libdir)/ivl$(suffix)
- 
- uninstall:
- 	rm -f $(libdir)/ivl$(suffix)/fpga.tgt
diff --git a/verilog08/patches/patch-tgt-null_Makefile_in b/verilog08/patches/patch-tgt-null_Makefile_in
deleted file mode 100644
index 222dd3e..0000000
--- a/verilog08/patches/patch-tgt-null_Makefile_in
+++ /dev/null
@@ -1,31 +0,0 @@
-$NetBSD: patch-tgt-null_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- tgt-null/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ tgt-null/Makefile.in	2014-08-20 17:41:27.000000000 +0900
-@@ -75,17 +75,20 @@ check: all
- install: all installdirs $(libdir)/ivl$(suffix)/null.tgt $(INSTALL_DOC) $(libdir)/ivl$(suffix)/null.conf $(libdir)/ivl$(suffix)/null-s.conf
- 
- $(libdir)/ivl$(suffix)/null.tgt: ./null.tgt
--	$(INSTALL_PROGRAM) ./null.tgt $(libdir)/ivl$(suffix)/null.tgt
-+	$(INSTALL_PROGRAM) ./null.tgt $(DESTDIR)$(libdir)/ivl$(suffix)/null.tgt
- 
- $(libdir)/ivl$(suffix)/null.conf: $(srcdir)/null.conf
--	$(INSTALL_DATA) $(srcdir)/null.conf $(libdir)/ivl$(suffix)/null.conf
-+	$(INSTALL_DATA) $(srcdir)/null.conf $(DESTDIR)$(libdir)/ivl$(suffix)/null.conf
- 
- $(libdir)/ivl$(suffix)/null-s.conf: $(srcdir)/null-s.conf
--	$(INSTALL_DATA) $(srcdir)/null-s.conf $(libdir)/ivl$(suffix)/null-s.conf
-+	$(INSTALL_DATA) $(srcdir)/null-s.conf $(DESTDIR)$(libdir)/ivl$(suffix)/null-s.conf
- 
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(includedir) $(bindir) $(libdir)/ivl$(suffix)
-+	$(srcdir)/../mkinstalldirs \
-+	$(DESTDIR)$(includedir) \
-+	$(DESTDIR)$(bindir) \
-+	$(DESTDIR)$(libdir)/ivl$(suffix)
- 
- uninstall:
- 	rm -f $(libdir)/ivl$(suffix)/null.tgt
diff --git a/verilog08/patches/patch-tgt-pal_Makefile_in b/verilog08/patches/patch-tgt-pal_Makefile_in
deleted file mode 100644
index 577e8b8..0000000
--- a/verilog08/patches/patch-tgt-pal_Makefile_in
+++ /dev/null
@@ -1,20 +0,0 @@
-$NetBSD: patch-tgt-pal_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- tgt-pal/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ tgt-pal/Makefile.in	2014-08-20 17:47:04.000000000 +0900
-@@ -74,11 +74,11 @@ check: all
- install: all installdirs $(libdir)/ivl/pal.tgt
- 
- $(libdir)/ivl/pal.tgt: ./pal.tgt
--	$(INSTALL_PROGRAM) ./pal.tgt $(libdir)/ivl/pal.tgt
-+	$(INSTALL_PROGRAM) ./pal.tgt $(DESTDIR)$(libdir)/ivl/pal.tgt
- 
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(includedir) $(bindir) $(libdir)/ivl
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(includedir) $(DESTDIR)$(bindir) $(DESTDIR)$(libdir)/ivl
- 
- uninstall:
- 	rm -f $(libdir)/ivl/pal.tgt
diff --git a/verilog08/patches/patch-tgt-stub_Makefile_in b/verilog08/patches/patch-tgt-stub_Makefile_in
deleted file mode 100644
index 51a0cca..0000000
--- a/verilog08/patches/patch-tgt-stub_Makefile_in
+++ /dev/null
@@ -1,28 +0,0 @@
-$NetBSD: patch-tgt-stub_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- tgt-stub/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ tgt-stub/Makefile.in	2014-08-20 17:47:35.000000000 +0900
-@@ -80,17 +80,17 @@ install: all installdirs $(libdir)/ivl$(
- 	$(includedir)/vpi_user.h
- 
- $(libdir)/ivl$(suffix)/stub.tgt: ./stub.tgt
--	$(INSTALL_PROGRAM) ./stub.tgt $(libdir)/ivl$(suffix)/stub.tgt
-+	$(INSTALL_PROGRAM) ./stub.tgt $(DESTDIR)$(libdir)/ivl$(suffix)/stub.tgt
- 
- $(libdir)/ivl$(suffix)/stub.conf: stub.conf
--	$(INSTALL_DATA) $< $(libdir)/ivl$(suffix)/stub.conf
-+	$(INSTALL_DATA) $< $(DESTDIR)$(libdir)/ivl$(suffix)/stub.conf
- 
- $(libdir)/ivl$(suffix)/stub-s.conf: stub-s.conf
--	$(INSTALL_DATA) $< $(libdir)/ivl$(suffix)/stub-s.conf
-+	$(INSTALL_DATA) $< $(DESTDIR)$(libdir)/ivl$(suffix)/stub-s.conf
- 
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(includedir) $(bindir) $(libdir)/ivl$(suffix)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(includedir) $(DESTDIR)$(bindir) $(DESTDIR)$(libdir)/ivl$(suffix)
- 
- uninstall:
- 	rm -f $(DESTDIR)$(libdir)/ivl$(suffix)/stub.tgt
diff --git a/verilog08/patches/patch-tgt-verilog_Makefile_in b/verilog08/patches/patch-tgt-verilog_Makefile_in
deleted file mode 100644
index 2ca0bd6..0000000
--- a/verilog08/patches/patch-tgt-verilog_Makefile_in
+++ /dev/null
@@ -1,20 +0,0 @@
-$NetBSD: patch-tgt-verilog_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- tgt-verilog/Makefile.in~	2008-12-10 12:21:48.000000000 +0900
-+++ tgt-verilog/Makefile.in	2014-08-20 17:47:54.000000000 +0900
-@@ -74,11 +74,11 @@ install: all installdirs $(libdir)/ivl/v
- 	$(includedir)/vpi_user.h
- 
- $(libdir)/ivl/verilog.tgt: ./verilog.tgt
--	$(INSTALL_PROGRAM) ./verilog.tgt $(libdir)/ivl/verilog.tgt
-+	$(INSTALL_PROGRAM) ./verilog.tgt $(DESTDIR)$(libdir)/ivl/verilog.tgt
- 
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(libdir)/ivl
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(libdir)/ivl
- 
- uninstall:
- 	rm -f $(libdir)/ivl/verilog.tgt
diff --git a/verilog08/patches/patch-vpi_Makefile_in b/verilog08/patches/patch-vpi_Makefile_in
deleted file mode 100644
index 309d990..0000000
--- a/verilog08/patches/patch-vpi_Makefile_in
+++ /dev/null
@@ -1,25 +0,0 @@
-$NetBSD: patch-vpi_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- vpi/Makefile.in.orig	2008-12-10 12:21:48.000000000 +0900
-+++ vpi/Makefile.in	2014-08-20 18:31:09.000000000 +0900
-@@ -122,14 +122,14 @@ check: all
- install: all installdirs $(vpidir)/system.vpi $(libdir)/ivl$(suffix)/system.sft $(INSTALL32)
- 
- $(vpidir)/system.vpi: ./system.vpi
--	$(INSTALL_PROGRAM) ./system.vpi $(vpidir)/system.vpi
--	$(STRIP) $(strip_dynamic) $(vpidir)/system.vpi
-+	$(INSTALL_PROGRAM) ./system.vpi $(DESTDIR)$(vpidir)/system.vpi
-+	$(STRIP) $(strip_dynamic) $(DESTDIR)$(vpidir)/system.vpi
- 
- $(libdir)/ivl$(suffix)/system.sft: system.sft
--	$(INSTALL_DATA) $< $@
-+	$(INSTALL_DATA) $< $(DESTDIR)$@
- 
- installdirs: ../mkinstalldirs
--	$(srcdir)/../mkinstalldirs $(vpidir)
-+	$(srcdir)/../mkinstalldirs $(DESTDIR)$(vpidir)
- 
- uninstall: $(UNINSTALL32)
- 	rm -f $(vpidir)/system.vpi
diff --git a/verilog08/patches/patch-vvp_Makefile_in b/verilog08/patches/patch-vvp_Makefile_in
deleted file mode 100644
index 5a04903..0000000
--- a/verilog08/patches/patch-vvp_Makefile_in
+++ /dev/null
@@ -1,47 +0,0 @@
-$NetBSD: patch-vvp_Makefile_in,v 1.1 2014/08/20 11:37:00 makoto Exp $
-
-user-destdir support
-
---- vvp/Makefile.in.orig	2008-12-10 12:21:48.000000000 +0900
-+++ vvp/Makefile.in	2014-08-20 17:26:40.000000000 +0900
-@@ -197,29 +197,32 @@ Makefile: Makefile.in config.status
- install: all installdirs $(bindir)/vvp$(suffix)@EXEEXT@ $(libdir64)/libvpi$(suffix).a $(INSTALL_DOC) $(INSTALL32)
- 
- $(bindir)/vvp$(suffix)@EXEEXT@: ./vvp@EXEEXT@
--	$(INSTALL_PROGRAM) ./vvp@EXEEXT@ $(bindir)/vvp$(suffix)@EXEEXT@
-+	$(INSTALL_PROGRAM) ./vvp@EXEEXT@ $(DESTDIR)$(bindir)/vvp$(suffix)@EXEEXT@
- 
- $(libdir64)/libvpi$(suffix).a : ./libvpi.a
--	$(INSTALL_DATA) libvpi.a $(libdir64)/libvpi$(suffix).a
-+	$(INSTALL_DATA) libvpi.a $(DESTDIR)$(libdir64)/libvpi$(suffix).a
- 
- $(mandir)/man1/vvp$(suffix).1: $(srcdir)/vvp.man
--	$(INSTALL_DATA) $(srcdir)/vvp.man $(mandir)/man1/vvp$(suffix).1
-+	$(INSTALL_DATA) $(srcdir)/vvp.man $(DESTDIR)$(mandir)/man1/vvp$(suffix).1
- 
- $(prefix)/vvp.pdf: vvp.pdf
--	$(INSTALL_DATA) vvp.pdf $(prefix)/vvp$(suffix).pdf
-+	$(INSTALL_DATA) vvp.pdf $(DESTDIR)$(prefix)/vvp$(suffix).pdf
- 
- installdirs: $(srcdir)/mkinstalldirs
--	$(srcdir)/mkinstalldirs $(bindir) $(libdir) $(libdir64) $(INSTALL_DOCDIR)
--
-+	$(srcdir)/mkinstalldirs \
-+		$(DESTDIR)$(bindir) \
-+		$(DESTDIR)$(libdir) \
-+		$(DESTDIR)$(libdir64) \
-+		$(DESTDIR)$(INSTALL_DOCDIR)
- 
- ifeq (@enable_vvp32@,yes)
- install32: all32 $(libdir)/libvpi$(suffix).a $(bindir)/vvp32$(suffix)
- 
- $(libdir)/libvpi$(suffix).a: bin32/libvpi.a
--	$(INSTALL_DATA) bin32/libvpi.a $(libdir)/libvpi$(suffix).a
-+	$(INSTALL_DATA) bin32/libvpi.a $(DESTDIR)$(libdir)/libvpi$(suffix).a
- 
- $(bindir)/vvp32$(suffix): ./vvp32
--	$(INSTALL_PROGRAM) ./vvp32 $(bindir)/vvp32$(suffix)
-+	$(INSTALL_PROGRAM) ./vvp32 $(DESTDIR)$(bindir)/vvp32$(suffix)
- 
- uninstall32:
- 	rm -f $(libdir)/libvpi$(suffix).a



Home | Main Index | Thread Index | Old Index