pkgsrc-Changes-HG archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

[pkgsrc/trunk]: pkgsrc/ham/uhd uhd: updated to 3.13.1.0



details:   https://anonhg.NetBSD.org/pkgsrc/rev/60a299463275
branches:  trunk
changeset: 321470:60a299463275
user:      adam <adam%pkgsrc.org@localhost>
date:      Fri Mar 22 17:58:27 2019 +0000

description:
uhd: updated to 3.13.1.0

003.013.001.000 Changelog

E320: Fix front panel GPIO readback
E320: Fix master_clock_rate setting
E320: Print extra ouptut for ref_clock BIST
E320: Fix gps_locked type
E320: Fix return value of get_fpga_type()
N3xx: Enable setting clock and time sources at runtime
N3xx: Add ref_clock BIST
N3xx: Improve set_time_source() and set_clock_source()
N3xx: Add exception for init failure
N3xx: Remove HA, XA images packages
N3xx: Change init() procedure to reduce configuration time
N310: Add frequency bounds
N310: Fix RX antenna mapping
N310: Add log messages when re-initializing dboards
N310: Add skip_rfic argument to reduce time of BIST
N310: Add initialization of TX bandwidth
E310: Fix initialization of antenna and frequency values
E310: Type-cast fix for Boost
X300: Improve firmware compat error message
X300: Updated niusrprio driver
X300: Add recovery for duplicate IP addresses in EEPROM
X300: Prevent duplicate MAC and IP addresses from being programmed
X300: New mode to configure master clock rate
X300: Implement RFNoC get antenna functions
B2xx: Fix values of MASK_GPIO_SHDN_SW and GPIO_AUX_PWR_ON in firmware
B2xx: Revert changes to DSP core to fix scaling factor adjustment
B2xx: Restore asynchronous reset of AD936x
(fixes LIBUSB_TRANSFER_OVERFLOW and unexpected sid errors)
TwinRX: enable ch1 lo amps if ch2 is using an external lo source
TwinRX: Correctly initialize antenna mapping on X300
TwinRX: Revise ADF5356 frac2 register calculation to prevent drifting spurs
TwinRX: Fix initialization
TwinRX: Tuning improvements
TwinRX: Enable phase resync on ADF535x
TwinRX: Make routing to LO1 and LO2 mutually exclusive
BasicRX/LFRX: Fix real mode in rx_frontend_core_3000
UHD: Define UHD_API as empty string when building static lib
UHD: Changed to 'all_matching' endpoint resolution for udp_simple transport
UHD: Add support for NEON SIMD
UHD: Fix usb_dummy_impl compilation in MSVC
UHD: Reconcile time_spec operators with boost concepts
UHD: Fix rounding in ddc/duc rate calculation
UHD: Increase MPMD RPC timeout when calling set_time_source()
UHD: Fix RX streamer SOB and EOB handling
UHD: Add UHD_SAFE_CALL to block_ctrl_base destructor
UHD: Change SOVERSION to ABI string and VERSION to full UHD version
UHD: Update cmake style to use lower case commands
UHD: Add SOURCE_DATE_EPOCH
UHD: Improve logic for UHD_IMAGES_DIR
UHD: Add RUNTIME_PYTHON_EXECUTABLE
UHD: Fix return value of get_rolloff() for filters
UHD: Properly register devtest
UHD: Fix log statement for Port number on RFNoC block
UHD: Use "MATCHES" instead of "STREQUAL" for "Clang"
UHD: Fix GPGGA string formatting for gpsd
Device3: Set default block control response SIDs
Device3: Fix block control flushing
RFNoC: Improved flushing mechanism in noc_shell and dma_fifo
RFNoC: Install missing dma_fifo_block_ctrl header
RFNoC: Replace some [] with .at() in radio_ctrl_impl
RFNoC: Fix graph traversal
MPM: Add Git hash, version to device info
MPM: Reset the RPC server upon reload
MPM: TDC: Update PDAC BIST and flatness test to use latest APIs
MPM: Fix handling of 0-valued dt-compat
MPM: Fix GPSD sensor names for N3xx and E320
MPM: Add args to update_ref_clock_freq to properly support dynamic setting
 of clock and time references
MPM: Fix Pylint warnings
MPM: Identify sysfs gpios more generically
MPM: Add lock_guard() function
MPM: Factor E320 and N3xx BIST code into common module
MPM: Add gpsd error handling
MPM: Add FPGA git hash to device info
MPMD: Increase RPC timeout during readng mb sensor
MPMD: Improve error message for compat number mismatches
Python API: Enable Python API on Windows
Python API: Change .dll to .pyd for Win32
Python API: Fixing Boost.Python initializer visibility
Python API: Fix duration of benchmark rate
Python API: Add missing constructors of time_spec_t
Python API: Expose streamer timeouts
Python API: Tighten the scope of releasing the GIL
Python API: Add device_addr_t
Python API: Populate the tune_result_t binding
Utils: Many fixes and enhancements for uhd_images_downloader
Utils: Update query_gpsdo_sensors to work on E310
Examples: Removed some legacy code patterns from RFNoC examples
Examples: Fix channel argument for rx_samples_to_file
Examples: Fix benchmark_rate MIMO synchronization
Examples: Add phase alignment example
Examples: Fix RX antenna not being applied in txrx_loopback_to_file
Test: Add more env vars, make Py3k compatible
Test: Add multi_usrp_test.py to devtest
Test: Clean up, refactor, and improve devtest
Test: Enable rx_samples_to_file in E320 devtest and N3xx devtest
Test: Reduce sample rate for E320 1G devtest
Test: Add unit test for eeprom_utils
Docs: Add clock_source and time_source to n3xx argument list and fix WR clock_source call
Docs: Minor tweaks to the Python API manual page
Docs: Add E320 test procedures
Docs: Added TwinRX page
Docs: Fix N210 MIMO Phase Alignment test command
Docs: Add E320 information
Docs: Improve sections on clock/time references
Docs: Add section on X300 motherboard clocking
Docs: Add more information on Salt for N3xx and E320
Docs: Adjust E310 functional verification tests
Docs: Add documentation on GIL release
Debian: Update control files
Images: Add N3xx CPLD file to manifest

diffstat:

 ham/uhd/Makefile          |  92 ++++++++++++++++++++--------------------------
 ham/uhd/PLIST             |  38 ++++++++++++++-----
 ham/uhd/PLIST.doxygen     |  27 +++++++++----
 ham/uhd/PLIST.manual      |  13 ------
 ham/uhd/PLIST.manual-nogz |  12 ------
 ham/uhd/distinfo          |  11 ++---
 ham/uhd/options.mk        |  27 ++++++-------
 7 files changed, 104 insertions(+), 116 deletions(-)

diffs (truncated from 472 to 300 lines):

diff -r baccb4e9cca6 -r 60a299463275 ham/uhd/Makefile
--- a/ham/uhd/Makefile  Fri Mar 22 17:55:05 2019 +0000
+++ b/ham/uhd/Makefile  Fri Mar 22 17:58:27 2019 +0000
@@ -1,63 +1,55 @@
-# $NetBSD: Makefile,v 1.43 2019/03/15 00:49:01 mef Exp $
+# $NetBSD: Makefile,v 1.44 2019/03/22 17:58:27 adam Exp $
 
-# 3.13.0.1 is missing *_firmware_burner, and it's not clear that is correct.
-DISTNAME=              uhd-3.11.1.0
-PKGREVISION=           3
-CATEGORIES=            ham
-MASTER_SITES=           ${MASTER_SITE_GITHUB:=EttusResearch/}
-GITHUB_TAG=            v${PKGVERSION_NOREV}
+DISTNAME=      uhd-3.13.1.0
+CATEGORIES=    ham
+MASTER_SITES=  ${MASTER_SITE_GITHUB:=EttusResearch/}
+GITHUB_TAG=    v${PKGVERSION_NOREV}
 
-MAINTAINER=            pkgsrc-users%NetBSD.org@localhost
-#HOMEPAGE=             http://code.ettus.com/redmine/ettus/projects/uhd/wiki
-COMMENT=               USRP (Universal Software Radio Peripheral) Hardware Drivers
-LICENSE=               gnu-gpl-v2
+MAINTAINER=    pkgsrc-users%NetBSD.org@localhost
+HOMEPAGE=      https://github.com/EttusResearch/uhd
+COMMENT=       USRP (Universal Software Radio Peripheral) Hardware Drivers
+LICENSE=       gnu-gpl-v2
+
+DEPENDS+=      ${PYPKGPREFIX}-mako-[0-9]*:../../devel/py-mako
+DEPENDS+=      ${PYPKGPREFIX}-requests-[0-9]*:../../devel/py-requests
 
-BUILD_DEPENDS+=                ${PYPKGPREFIX}-cheetah-[0-9]*:../../devel/py-cheetah
-# moved to options.mk
-#UILD_DEPENDS+=                ${PYPKGPREFIX}-docutils>=0.12:../../textproc/py-docutils
-BUILD_DEPENDS+=                ${PYPKGPREFIX}-mako-[0-9]*:../../devel/py-mako
-
-PYTHON_VERSIONS_INCOMPATIBLE=  34 35 36 37 # py-cheetah
-
-CMAKE_ARGS+=           -DRST2HTML_EXECUTABLE=${PREFIX}/bin/rst2html-${PYVERSSUFFIX}.py
-CMAKE_ARGS+=           -Wno-dev
+CMAKE_ARGS+=   -DRST2HTML_EXECUTABLE=${PREFIX}/bin/rst2html-${PYVERSSUFFIX}.py
+CMAKE_ARGS+=   -Wno-dev
+.include "../../lang/python/pyversion.mk"
+CMAKE_ARGS+=   -DPYTHON_EXECUTABLE=${PYTHONBIN}
+.if !empty(_PYTHON_VERSION:M3*)
+CMAKE_ARGS+=   -DENABLE_PYTHON3=ON
+.endif
 
 # Upstream says C++11 is required, even though it is not documented.
 # It is documented that gcc 4.8 is required, when using gcc.
 # https://github.com/EttusResearch/uhd/issues/236
+USE_CMAKE=             yes
 USE_LANGUAGES=         c c++11
-# c++11
+USE_TOOLS+=            pkg-config
 GCC_REQD+=             4.8
-USE_TOOLS=             cmake pkg-config
-USE_CMAKE=             yes
-# extra pax_global_header exists, and to avoid that:
+# to avoid extra pax_global_header
 EXTRACT_USING=         gtar
-#EXTRACT_ELEMENTS=     uhd-${GITHUB_TAG}
-
-# Please note distribution has many stuff under uhd/, but build itself
-# needs under host/ only.  Those uhd/ stuff will be handled post-{patch,install}:
-WRKSRC=                        ${WRKDIR}/uhd-${PKGVERSION_NOREV}/host
+WRKSRC=                        ${WRKDIR}/${DISTNAME}/host
 
 # The files with their name uhd-xxxx, are moved down from uhd/xxxx for this process.
-REPLACE_PYTHON=        \
-       utils/latency/graph.py \
-       utils/latency/run_tests.py \
-       utils/uhd_images_downloader.py.in \
-       utils/usrp2_card_burner.py \
-       utils/usrp2_card_burner_gui.py \
-       utils/usrp_n2xx_net_burner.py \
-       utils/usrp_n2xx_net_burner_gui.py \
-       tests/devtest/benchmark_rate_test.py \
-       tests/devtest/run_testsuite.py \
-       tests/devtest/rx_samples_to_file_test.py \
-       tests/devtest/test_messages_test.py \
-       tests/devtest/test_pps_test.py \
-       tests/devtest/tx_bursts_test.py \
-       tests/devtest/uhd_test_base.py \
-       tests/devtest/usrp_probe.py \
-       tests/devtest/usrp_probe_test.py \
-       utils/converter_benchmark.py \
-
+REPLACE_PYTHON+=       utils/converter_benchmark.py
+REPLACE_PYTHON+=       utils/latency/graph.py
+REPLACE_PYTHON+=       utils/latency/run_tests.py
+REPLACE_PYTHON+=       utils/uhd_images_downloader.py.in
+REPLACE_PYTHON+=       utils/usrp2_card_burner.py
+REPLACE_PYTHON+=       utils/usrp2_card_burner_gui.py
+REPLACE_PYTHON+=       tests/devtest/benchmark_rate_test.py
+REPLACE_PYTHON+=       tests/devtest/multi_usrp_test.py
+REPLACE_PYTHON+=       tests/devtest/python_api_test.py
+REPLACE_PYTHON+=       tests/devtest/run_testsuite.py
+REPLACE_PYTHON+=       tests/devtest/rx_samples_to_file_test.py
+REPLACE_PYTHON+=       tests/devtest/test_messages_test.py
+REPLACE_PYTHON+=       tests/devtest/test_pps_test.py
+REPLACE_PYTHON+=       tests/devtest/tx_bursts_test.py
+REPLACE_PYTHON+=       tests/devtest/uhd_test_base.py
+REPLACE_PYTHON+=       tests/devtest/usrp_probe.py
+REPLACE_PYTHON+=       tests/devtest/usrp_probe_test.py
 
 INSTALLATION_DIRS+=    share/uhd
 INSTALLATION_DIRS+=    share/uhd/firmware
@@ -71,13 +63,11 @@
 SUBST_SED.man=         -e '/UHD_INSTALL/s,$${PKG_MAN_DIR},${PREFIX}/${PKGMANDIR}/man1,'
 # UHD_INSTALL(FILES ${gzfile} DESTINATION ${PKG_MAN_DIR} COMPONENT manpages)
 
-# is it default ? and redundent ?
-PLIST_SRC=     ${PKGDIR}/PLIST
 .include "options.mk"
 
-.include "../../lang/python/application.mk"
 .include "../../devel/boost-libs/buildlink3.mk"
 .include "../../devel/libusb1/buildlink3.mk"
 .include "../../devel/orc/buildlink3.mk"
+.include "../../lang/python/application.mk"
 .include "../../mk/curses.buildlink3.mk"
 .include "../../mk/bsd.pkg.mk"
diff -r baccb4e9cca6 -r 60a299463275 ham/uhd/PLIST
--- a/ham/uhd/PLIST     Fri Mar 22 17:55:05 2019 +0000
+++ b/ham/uhd/PLIST     Fri Mar 22 17:58:27 2019 +0000
@@ -1,5 +1,4 @@
-@comment
-bin/octoclock_firmware_burner
+@comment $NetBSD: PLIST,v 1.13 2019/03/22 17:58:27 adam Exp $
 bin/uhd_cal_rx_iq_balance
 bin/uhd_cal_tx_dc_offset
 bin/uhd_cal_tx_iq_balance
@@ -7,8 +6,6 @@
 bin/uhd_find_devices
 bin/uhd_image_loader
 bin/uhd_usrp_probe
-bin/usrp_n2xx_simple_net_burner
-bin/usrp_x3xx_fpga_burner
 include/uhd.h
 include/uhd/build_info.hpp
 include/uhd/cal/container.hpp
@@ -87,7 +84,6 @@
 include/uhd/utils/algorithm.hpp
 include/uhd/utils/assert_has.hpp
 include/uhd/utils/assert_has.ipp
-include/uhd/utils/atomic.hpp
 include/uhd/utils/byteswap.hpp
 include/uhd/utils/byteswap.ipp
 include/uhd/utils/cast.hpp
@@ -114,8 +110,7 @@
 lib/cmake/uhd/UHDConfig.cmake
 lib/cmake/uhd/UHDConfigVersion.cmake
 lib/libuhd.so
-lib/libuhd.so.3
-lib/libuhd.so.3.11
+lib/libuhd.so.3.13.1
 lib/pkgconfig/uhd.pc
 lib/uhd/examples/benchmark_rate
 lib/uhd/examples/gpio
@@ -153,11 +148,14 @@
 lib/uhd/tests/devtest/benchmark_rate_test.py
 lib/uhd/tests/devtest/bitbang_test.py
 lib/uhd/tests/devtest/devtest_b2xx.py
+lib/uhd/tests/devtest/devtest_e320.py
 lib/uhd/tests/devtest/devtest_e3xx.py
 lib/uhd/tests/devtest/devtest_n3x0.py
 lib/uhd/tests/devtest/devtest_x3x0.py
 lib/uhd/tests/devtest/gpio_test.py
 lib/uhd/tests/devtest/list_sensors_test.py
+lib/uhd/tests/devtest/multi_usrp_test.py
+lib/uhd/tests/devtest/python_api_test.py
 lib/uhd/tests/devtest/run_testsuite.py
 lib/uhd/tests/devtest/rx_samples_to_file_test.py
 lib/uhd/tests/devtest/test_messages_test.py
@@ -168,6 +166,7 @@
 lib/uhd/tests/devtest/usrp_probe_test.py
 lib/uhd/tests/dict_test
 lib/uhd/tests/eeprom_c_test
+lib/uhd/tests/eeprom_utils_test
 lib/uhd/tests/error_c_test
 lib/uhd/tests/error_test
 lib/uhd/tests/expert_test
@@ -188,6 +187,7 @@
 lib/uhd/tests/sensors_c_test
 lib/uhd/tests/sensors_test
 lib/uhd/tests/sid_t_test
+lib/uhd/tests/soft_reg_test
 lib/uhd/tests/sph_recv_test
 lib/uhd/tests/sph_send_test
 lib/uhd/tests/string_vector_c_test
@@ -209,9 +209,18 @@
 lib/uhd/utils/usrp2_card_burner.py
 lib/uhd/utils/usrp_burn_db_eeprom
 lib/uhd/utils/usrp_burn_mb_eeprom
-lib/uhd/utils/usrp_n2xx_net_burner.py
-lib/uhd/utils/usrp_n2xx_simple_net_burner
-lib/uhd/utils/usrp_x3xx_fpga_burner
+man/man1/octoclock_firmware_burner.1
+man/man1/uhd_cal_rx_iq_balance.1
+man/man1/uhd_cal_tx_dc_offset.1
+man/man1/uhd_cal_tx_iq_balance.1
+man/man1/uhd_config_info.1
+man/man1/uhd_find_devices.1
+man/man1/uhd_image_loader.1
+man/man1/uhd_images_downloader.1
+man/man1/uhd_usrp_probe.1
+man/man1/usrp2_card_burner.1
+man/man1/usrp_n2xx_simple_net_burner.1
+man/man1/usrp_x3xx_fpga_burner.1
 share/doc/uhd/LICENSE
 share/doc/uhd/README.md
 share/uhd/rfnoc/blocks/addsub.xml
@@ -219,6 +228,8 @@
 share/uhd/rfnoc/blocks/ddc.xml
 share/uhd/rfnoc/blocks/ddc_eiscat.xml
 share/uhd/rfnoc/blocks/ddc_single.xml
+share/uhd/rfnoc/blocks/debug.xml
+share/uhd/rfnoc/blocks/digital_gain.xml
 share/uhd/rfnoc/blocks/dma_fifo.xml
 share/uhd/rfnoc/blocks/dma_fifo_x4.xml
 share/uhd/rfnoc/blocks/duc.xml
@@ -229,11 +240,18 @@
 share/uhd/rfnoc/blocks/fosphor.xml
 share/uhd/rfnoc/blocks/keep_one_in_n.xml
 share/uhd/rfnoc/blocks/logpwr.xml
+share/uhd/rfnoc/blocks/moving_avg.xml
 share/uhd/rfnoc/blocks/nullblock.xml
 share/uhd/rfnoc/blocks/ofdmeq.xml
 share/uhd/rfnoc/blocks/packetresizer.xml
+share/uhd/rfnoc/blocks/radio_e3xx.xml
 share/uhd/rfnoc/blocks/radio_eiscat.xml
 share/uhd/rfnoc/blocks/radio_magnesium.xml
+share/uhd/rfnoc/blocks/radio_neon.xml
 share/uhd/rfnoc/blocks/radio_x300.xml
+share/uhd/rfnoc/blocks/schmidlcox.xml
+share/uhd/rfnoc/blocks/serialdemod.xml
 share/uhd/rfnoc/blocks/siggen.xml
+share/uhd/rfnoc/blocks/splitstream.xml
+share/uhd/rfnoc/blocks/vector_iir.xml
 share/uhd/rfnoc/blocks/window.xml
diff -r baccb4e9cca6 -r 60a299463275 ham/uhd/PLIST.doxygen
--- a/ham/uhd/PLIST.doxygen     Fri Mar 22 17:55:05 2019 +0000
+++ b/ham/uhd/PLIST.doxygen     Fri Mar 22 17:58:27 2019 +0000
@@ -1,9 +1,11 @@
+@comment $NetBSD: PLIST.doxygen,v 1.13 2019/03/22 17:58:27 adam Exp $
 share/doc/uhd/doxygen/html/Ettus_Logo.png
 share/doc/uhd/doxygen/html/N2xx-JTAG.jpg
 share/doc/uhd/doxygen/html/N310fp.png
 share/doc/uhd/doxygen/html/N310isoExplode.png
 share/doc/uhd/doxygen/html/N310rp.png
 share/doc/uhd/doxygen/html/TRRS.png
+share/doc/uhd/doxygen/html/TwinRX_Block_Diagram.png
 share/doc/uhd/doxygen/html/algorithm_8hpp.html
 share/doc/uhd/doxygen/html/algorithm_8hpp.js
 share/doc/uhd/doxygen/html/algorithm_8hpp_source.html
@@ -14,11 +16,9 @@
 share/doc/uhd/doxygen/html/assert__has_8hpp_source.html
 share/doc/uhd/doxygen/html/assert__has_8ipp.html
 share/doc/uhd/doxygen/html/assert__has_8ipp.js
-share/doc/uhd/doxygen/html/atomic_8hpp.html
-share/doc/uhd/doxygen/html/atomic_8hpp.js
-share/doc/uhd/doxygen/html/atomic_8hpp_source.html
 share/doc/uhd/doxygen/html/bc_s.png
 share/doc/uhd/doxygen/html/bdwn.png
+share/doc/uhd/doxygen/html/block__desc__files_8dox.html
 share/doc/uhd/doxygen/html/bounded__buffer_8hpp.html
 share/doc/uhd/doxygen/html/bounded__buffer_8hpp_source.html
 share/doc/uhd/doxygen/html/bounded__buffer_8ipp.html
@@ -39,6 +39,7 @@
 share/doc/uhd/doxygen/html/byteswap_8ipp.js
 share/doc/uhd/doxygen/html/c__api_8dox.html
 share/doc/uhd/doxygen/html/calibration_8dox.html
+share/doc/uhd/doxygen/html/capi_8dox.html
 share/doc/uhd/doxygen/html/cast_8hpp.html
 share/doc/uhd/doxygen/html/cast_8hpp.js
 share/doc/uhd/doxygen/html/cast_8hpp_source.html
@@ -152,9 +153,6 @@
 share/doc/uhd/doxygen/html/classuhd_1_1sid__t-members.html
 share/doc/uhd/doxygen/html/classuhd_1_1sid__t.html
 share/doc/uhd/doxygen/html/classuhd_1_1sid__t.js
-share/doc/uhd/doxygen/html/classuhd_1_1simple__claimer-members.html
-share/doc/uhd/doxygen/html/classuhd_1_1simple__claimer.html
-share/doc/uhd/doxygen/html/classuhd_1_1simple__claimer.js
 share/doc/uhd/doxygen/html/classuhd_1_1soft__register__base-members.html
 share/doc/uhd/doxygen/html/classuhd_1_1soft__register__base.html
 share/doc/uhd/doxygen/html/classuhd_1_1soft__register__base.js
@@ -490,7 +488,6 @@
 share/doc/uhd/doxygen/html/globals_func.html
 share/doc/uhd/doxygen/html/globals_func.js
 share/doc/uhd/doxygen/html/globals_func_u.html
-share/doc/uhd/doxygen/html/globals_h.html
 share/doc/uhd/doxygen/html/globals_i.html
 share/doc/uhd/doxygen/html/globals_s.html
 share/doc/uhd/doxygen/html/globals_type.html
@@ -618,12 +615,14 @@
 share/doc/uhd/doxygen/html/page_build_guide.html
 share/doc/uhd/doxygen/html/page_c_api.html
 share/doc/uhd/doxygen/html/page_calibration.html
+share/doc/uhd/doxygen/html/page_capi.html
 share/doc/uhd/doxygen/html/page_coding.html



Home | Main Index | Thread Index | Old Index