Subject: Re: USE_SASL is too general?
To: Greg Troxel <gdt@ir.bbn.com>
From: Adam C. Migus <adam@migus.org>
List: tech-pkg
Date: 12/31/2003 14:12:44
On Wednesday 31 December 2003 09:28 am, Greg Troxel wrote:
>   1) Cyclic dependencies should be fixed not avoided.
>
> I disagree strongly.  It should be possible to start with no
> packages, cd someplace under pkgsrc, type 'make package' and have it
> build. With circular dependencies, one would have to support some
> very awkward operations (e.g. build one package without a feature,
> build the second package depending on first, replace first package),
> and IMHO this just doesn't make sense.
>
> I conjecture that a large number of such cases are the result of a
> package that both provides a library feature for other programs and a
> user program not being split into two packages - the library probably
> doesn't have the problematic dependencies, and it's fine for a user
> program package to depend on both libraries.
>
> There are also probably a few poorly-designed libraries; I would
> argue that having two libraries with a circular dependency is clear
> evidence that at least one of them is badly designed.

Greg,
Cyclic dependencies can and should be fixed independent of any and all 
of the points you make in this post.  They can be fixed with common 
methods devised and used in graphing theory.

You can complain about poorly designed software all you like.  If the 
pkg_ utilities implemented cycle detection in the least and correction 
in the ideal this problem would be solved.

Adam