Subject: CVS commit: [nick-csl-alignment] src
To: None <source-changes@NetBSD.org>
From: Nick Hudson <skrll@netbsd.org>
List: source-changes
Date: 09/10/2007 10:56:25
Module Name:	src
Committed By:	skrll
Date:		Mon Sep 10 10:56:25 UTC 2007

Modified Files:
	src/lib/libpthread [nick-csl-alignment]: Makefile TODO pthread.c
	    pthread_cond.c pthread_int.h pthread_mutex.c pthread_rwlock.c
	    pthread_types.h sem.c
	src/lib/libpthread/arch/i386 [nick-csl-alignment]: _context_u.S
	    pthread_md.h
	src/lib/libpthread/arch/x86_64 [nick-csl-alignment]: _context_u.S
	    pthread_md.h
	src/sys/altq [nick-csl-alignment]: altq_subr.c
	src/sys/arch/alpha/include [nick-csl-alignment]: ansi.h
	src/sys/arch/amd64/conf [nick-csl-alignment]: GENERIC INSTALL SIMICS
	src/sys/arch/amd64/include [nick-csl-alignment]: ansi.h
	src/sys/arch/amiga/conf [nick-csl-alignment]: AMIGA Makefile WSCONS
	    _READ_ME_FIRST_
	src/sys/arch/amiga/dev [nick-csl-alignment]: if_ed.c if_es.c if_qn.c
	    melody.c
	src/sys/arch/arm/include [nick-csl-alignment]: ansi.h
	src/sys/arch/hppa/include [nick-csl-alignment]: ansi.h
	src/sys/arch/i386/conf [nick-csl-alignment]: ALL DISKLESS GENERIC
	    GENERIC_LAPTOP GENERIC_PS2TINY GENERIC_TINY INSTALL INSTALL_LAPTOP
	    INSTALL_SMALL INSTALL_TINY INSTALL_XEN2_DOMU IOPENER LAMB NET4501
	    PARALLELS QEMU SWINGER VIRTUALPC XBOX XEN2_DOM0 XEN2_DOMU
	src/sys/arch/i386/include [nick-csl-alignment]: ansi.h
	src/sys/arch/i386/pci [nick-csl-alignment]: gcscide.c
	src/sys/arch/ia64/include [nick-csl-alignment]: ansi.h
	src/sys/arch/m68k/include [nick-csl-alignment]: ansi.h
	src/sys/arch/mips/include [nick-csl-alignment]: ansi.h
	src/sys/arch/newsmips/apbus [nick-csl-alignment]: if_sn.c
	src/sys/arch/pc532/include [nick-csl-alignment]: ansi.h
	src/sys/arch/powerpc/include [nick-csl-alignment]: ansi.h
	src/sys/arch/sh3/include [nick-csl-alignment]: ansi.h
	src/sys/arch/sparc/include [nick-csl-alignment]: ansi.h
	src/sys/arch/sparc64/include [nick-csl-alignment]: ansi.h cpu.h
	    cpu_counter.h param.h
	src/sys/arch/sparc64/sparc64 [nick-csl-alignment]: clock.c cpu.c
	    genassym.cf locore.s machdep.c pmap.c
	src/sys/arch/sun3/dev [nick-csl-alignment]: if_ie.c
	src/sys/arch/vax/include [nick-csl-alignment]: ansi.h
	src/sys/arch/xen/i386 [nick-csl-alignment]: locore.S pmap.c
	src/sys/arch/xen/include [nick-csl-alignment]: granttables.h pmap.h
	src/sys/arch/xen/include/xen3-public [nick-csl-alignment]:
	    grant_table.h
	src/sys/arch/xen/xen [nick-csl-alignment]: xengnt.c
	src/sys/conf [nick-csl-alignment]: files
	src/sys/ddb [nick-csl-alignment]: db_command.c db_interface.h db_xxx.c
	src/sys/dev/acpi [nick-csl-alignment]: acpi_bat.c
	src/sys/dev/ata [nick-csl-alignment]: wd.c
	src/sys/dev/bi [nick-csl-alignment]: if_ni.c
	src/sys/dev/bluetooth [nick-csl-alignment]: btuart.c
	src/sys/dev/i2c [nick-csl-alignment]: spdmem.c
	src/sys/dev/ic [nick-csl-alignment]: mfi.c nslm7x.c nslm7xvar.h sgec.c
	    smc90cx6.c
	src/sys/dev/isa [nick-csl-alignment]: it.c lm_isa.c nsclpcsio_isa.c
	    smsc.c ug_isa.c
	src/sys/dev/onewire [nick-csl-alignment]: onewire.c onewirevar.h
	    owtemp.c
	src/sys/dev/pci [nick-csl-alignment]: if_ti.c if_tireg.h pcidevs
	    pcidevs.h pcidevs_data.h piixide.c
	src/sys/dev/pcmcia [nick-csl-alignment]: btbc.c
	src/sys/dev/qbus [nick-csl-alignment]: if_qe.c
	src/sys/dev/sysmon [nick-csl-alignment]: files.sysmon sysmon_envsys.c
	    sysmon_envsys_events.c sysmon_envsysvar.h sysmon_power.c
	    sysmonvar.h
	src/sys/dev/usb [nick-csl-alignment]: files.usb hid.c usbdevs usbdevs.h
	    usbdevs_data.h uscanner.c
	src/sys/dist/ipf/netinet [nick-csl-alignment]: fil.c ip_log.c ip_nat.c
	    ip_state.c
	src/sys/fs/efs [nick-csl-alignment]: efs_vfsops.c efs_vnops.c
	src/sys/fs/puffs [nick-csl-alignment]: puffs_subr.c puffs_vfsops.c
	src/sys/fs/sysvbfs [nick-csl-alignment]: sysvbfs_vfsops.c
	src/sys/kern [nick-csl-alignment]: init_main.c init_sysent.c
	    kern_descrip.c kern_exit.c kern_lwp.c kern_proc.c kern_resource.c
	    kern_sleepq.c sys_generic.c sys_lwp.c syscalls.c syscalls.master
	    uipc_syscalls.c
	src/sys/lkm/misc/envsys2 [nick-csl-alignment]: lkminit_envsys2.c
	src/sys/net [nick-csl-alignment]: if_gre.c if_pppoe.c
	src/sys/netbt [nick-csl-alignment]: hci.h hci_event.c hci_link.c
	    hci_misc.c
	src/sys/netinet [nick-csl-alignment]: if_atm.c
	src/sys/nfs [nick-csl-alignment]: nfs_vfsops.c
	src/sys/rump/librump/rumpkern [nick-csl-alignment]: vfs.c
	src/sys/sys [nick-csl-alignment]: Makefile envsys.h file.h lwp.h
	    param.h power.h proc.h syncobj.h syscall.h syscallargs.h tree.h
	    types.h unistd.h
Added Files:
	src/lib/libpthread [nick-csl-alignment]: pthread_mutex2.c
	    pthread_rwlock2.c
	src/sys/dev/sysmon [nick-csl-alignment]: sysmon_envsys_tables.c
	src/sys/dev/usb [nick-csl-alignment]: uchcom.c
	src/sys/kern [nick-csl-alignment]: sys_mqueue.c
	src/sys/lkm/dev/isa [nick-csl-alignment]: Makefile Makefile.inc
	src/sys/lkm/dev/isa/common [nick-csl-alignment]: lkm_isa.c.tmpl
	src/sys/lkm/dev/isa/it [nick-csl-alignment]: Makefile
	src/sys/lkm/dev/isa/lm [nick-csl-alignment]: Makefile
	src/sys/lkm/dev/isa/nsclpcsio [nick-csl-alignment]: Makefile
	src/sys/lkm/dev/isa/smsc [nick-csl-alignment]: Makefile
	src/sys/lkm/dev/isa/ug [nick-csl-alignment]: Makefile
	src/sys/sys [nick-csl-alignment]: mqueue.h

Log Message:
Sync with HEAD.


To generate a diff of this commit:
cvs rdiff -r1.40.2.1 -r1.40.2.2 src/lib/libpthread/Makefile
cvs rdiff -r1.8.2.2 -r1.8.2.3 src/lib/libpthread/TODO
cvs rdiff -r1.68.2.2 -r1.68.2.3 src/lib/libpthread/pthread.c
cvs rdiff -r1.31.2.3 -r1.31.2.4 src/lib/libpthread/pthread_cond.c
cvs rdiff -r1.42.2.2 -r1.42.2.3 src/lib/libpthread/pthread_int.h
cvs rdiff -r1.28.2.3 -r1.28.2.4 src/lib/libpthread/pthread_mutex.c
cvs rdiff -r0 -r1.3.2.2 src/lib/libpthread/pthread_mutex2.c
cvs rdiff -r1.18.2.2 -r1.18.2.3 src/lib/libpthread/pthread_rwlock.c
cvs rdiff -r0 -r1.2.2.2 src/lib/libpthread/pthread_rwlock2.c
cvs rdiff -r1.6.2.1 -r1.6.2.2 src/lib/libpthread/pthread_types.h
cvs rdiff -r1.14.2.2 -r1.14.2.3 src/lib/libpthread/sem.c
cvs rdiff -r1.2 -r1.2.20.1 src/lib/libpthread/arch/i386/_context_u.S
cvs rdiff -r1.7 -r1.7.8.1 src/lib/libpthread/arch/i386/pthread_md.h
cvs rdiff -r1.4 -r1.4.14.1 src/lib/libpthread/arch/x86_64/_context_u.S
cvs rdiff -r1.4 -r1.4.8.1 src/lib/libpthread/arch/x86_64/pthread_md.h
cvs rdiff -r1.22 -r1.22.2.1 src/sys/altq/altq_subr.c
cvs rdiff -r1.19 -r1.19.22.1 src/sys/arch/alpha/include/ansi.h
cvs rdiff -r1.153.4.2 -r1.153.4.3 src/sys/arch/amd64/conf/GENERIC
cvs rdiff -r1.71 -r1.71.4.1 src/sys/arch/amd64/conf/INSTALL
cvs rdiff -r1.32 -r1.32.4.1 src/sys/arch/amd64/conf/SIMICS
cvs rdiff -r1.4 -r1.4.22.1 src/sys/arch/amd64/include/ansi.h
cvs rdiff -r1.104.4.1 -r1.104.4.2 src/sys/arch/amiga/conf/AMIGA
cvs rdiff -r1.10 -r1.10.78.1 src/sys/arch/amiga/conf/Makefile
cvs rdiff -r1.58.4.1 -r1.58.4.2 src/sys/arch/amiga/conf/WSCONS
cvs rdiff -r1.1.4.2 -r1.1.4.3 src/sys/arch/amiga/conf/_READ_ME_FIRST_
cvs rdiff -r1.51.14.1 -r1.51.14.2 src/sys/arch/amiga/dev/if_ed.c
cvs rdiff -r1.38.14.1 -r1.38.14.2 src/sys/arch/amiga/dev/if_es.c
cvs rdiff -r1.27.14.1 -r1.27.14.2 src/sys/arch/amiga/dev/if_qn.c
cvs rdiff -r1.12 -r1.12.14.1 src/sys/arch/amiga/dev/melody.c
cvs rdiff -r1.7 -r1.7.22.1 src/sys/arch/arm/include/ansi.h
cvs rdiff -r1.7 -r1.7.22.1 src/sys/arch/hppa/include/ansi.h
cvs rdiff -r1.113.4.2 -r1.113.4.3 src/sys/arch/i386/conf/ALL
cvs rdiff -r1.119 -r1.119.4.1 src/sys/arch/i386/conf/DISKLESS
cvs rdiff -r1.838.4.2 -r1.838.4.3 src/sys/arch/i386/conf/GENERIC
cvs rdiff -r1.237.4.2 -r1.237.4.3 src/sys/arch/i386/conf/GENERIC_LAPTOP
cvs rdiff -r1.44 -r1.44.4.1 src/sys/arch/i386/conf/GENERIC_PS2TINY
cvs rdiff -r1.105 -r1.105.4.1 src/sys/arch/i386/conf/GENERIC_TINY
cvs rdiff -r1.316 -r1.316.4.1 src/sys/arch/i386/conf/INSTALL
cvs rdiff -r1.116 -r1.116.4.1 src/sys/arch/i386/conf/INSTALL_LAPTOP
cvs rdiff -r1.134 -r1.134.4.1 src/sys/arch/i386/conf/INSTALL_SMALL
cvs rdiff -r1.111 -r1.111.4.1 src/sys/arch/i386/conf/INSTALL_TINY
cvs rdiff -r1.12 -r1.12.4.1 src/sys/arch/i386/conf/INSTALL_XEN2_DOMU
cvs rdiff -r1.80 -r1.80.4.1 src/sys/arch/i386/conf/IOPENER
cvs rdiff -r1.69 -r1.69.4.1 src/sys/arch/i386/conf/LAMB
cvs rdiff -r1.55 -r1.55.4.1 src/sys/arch/i386/conf/NET4501
cvs rdiff -r1.14 -r1.14.4.1 src/sys/arch/i386/conf/PARALLELS
cvs rdiff -r1.10 -r1.10.4.1 src/sys/arch/i386/conf/QEMU
cvs rdiff -r1.91 -r1.91.4.1 src/sys/arch/i386/conf/SWINGER
cvs rdiff -r1.54 -r1.54.4.1 src/sys/arch/i386/conf/VIRTUALPC
cvs rdiff -r1.8 -r1.8.4.1 src/sys/arch/i386/conf/XBOX \
    src/sys/arch/i386/conf/XEN2_DOMU
cvs rdiff -r1.29.4.1 -r1.29.4.2 src/sys/arch/i386/conf/XEN2_DOM0
cvs rdiff -r1.19 -r1.19.22.1 src/sys/arch/i386/include/ansi.h
cvs rdiff -r1.4 -r1.4.8.1 src/sys/arch/i386/pci/gcscide.c
cvs rdiff -r1.3 -r1.3.22.1 src/sys/arch/ia64/include/ansi.h
cvs rdiff -r1.18 -r1.18.22.1 src/sys/arch/m68k/include/ansi.h
cvs rdiff -r1.21 -r1.21.22.1 src/sys/arch/mips/include/ansi.h
cvs rdiff -r1.24.4.1 -r1.24.4.2 src/sys/arch/newsmips/apbus/if_sn.c
cvs rdiff -r1.21 -r1.21.22.1 src/sys/arch/pc532/include/ansi.h
cvs rdiff -r1.23 -r1.23.22.1 src/sys/arch/powerpc/include/ansi.h
cvs rdiff -r1.10 -r1.10.22.1 src/sys/arch/sh3/include/ansi.h
cvs rdiff -r1.18 -r1.18.22.1 src/sys/arch/sparc/include/ansi.h
cvs rdiff -r1.12 -r1.12.22.1 src/sys/arch/sparc64/include/ansi.h
cvs rdiff -r1.63.4.1 -r1.63.4.2 src/sys/arch/sparc64/include/cpu.h
cvs rdiff -r1.3 -r1.3.38.1 src/sys/arch/sparc64/include/cpu_counter.h
cvs rdiff -r1.39.4.1 -r1.39.4.2 src/sys/arch/sparc64/include/param.h
cvs rdiff -r1.85.4.1 -r1.85.4.2 src/sys/arch/sparc64/sparc64/clock.c
cvs rdiff -r1.59.4.1 -r1.59.4.2 src/sys/arch/sparc64/sparc64/cpu.c
cvs rdiff -r1.45.4.1 -r1.45.4.2 src/sys/arch/sparc64/sparc64/genassym.cf
cvs rdiff -r1.252.4.2 -r1.252.4.3 src/sys/arch/sparc64/sparc64/locore.s
cvs rdiff -r1.200 -r1.200.4.1 src/sys/arch/sparc64/sparc64/machdep.c
cvs rdiff -r1.190.4.2 -r1.190.4.3 src/sys/arch/sparc64/sparc64/pmap.c
cvs rdiff -r1.46.14.1 -r1.46.14.2 src/sys/arch/sun3/dev/if_ie.c
cvs rdiff -r1.20 -r1.20.22.1 src/sys/arch/vax/include/ansi.h
cvs rdiff -r1.26 -r1.26.4.1 src/sys/arch/xen/i386/locore.S
cvs rdiff -r1.29 -r1.29.4.1 src/sys/arch/xen/i386/pmap.c
cvs rdiff -r1.1 -r1.1.46.1 src/sys/arch/xen/include/granttables.h
cvs rdiff -r1.10.16.1 -r1.10.16.2 src/sys/arch/xen/include/pmap.h
cvs rdiff -r1.3 -r1.3.34.1 src/sys/arch/xen/include/xen3-public/grant_table.h
cvs rdiff -r1.2 -r1.2.40.1 src/sys/arch/xen/xen/xengnt.c
cvs rdiff -r1.852.2.2 -r1.852.2.3 src/sys/conf/files
cvs rdiff -r1.96 -r1.96.2.1 src/sys/ddb/db_command.c
cvs rdiff -r1.20 -r1.20.2.1 src/sys/ddb/db_interface.h
cvs rdiff -r1.45.2.1 -r1.45.2.2 src/sys/ddb/db_xxx.c
cvs rdiff -r1.51.2.2 -r1.51.2.3 src/sys/dev/acpi/acpi_bat.c
cvs rdiff -r1.340.2.1 -r1.340.2.2 src/sys/dev/ata/wd.c
cvs rdiff -r1.30.10.1 -r1.30.10.2 src/sys/dev/bi/if_ni.c
cvs rdiff -r1.5.6.2 -r1.5.6.3 src/sys/dev/bluetooth/btuart.c
cvs rdiff -r1.1.6.2 -r1.1.6.3 src/sys/dev/i2c/spdmem.c
cvs rdiff -r1.8 -r1.8.2.1 src/sys/dev/ic/mfi.c
cvs rdiff -r1.38.2.1 -r1.38.2.2 src/sys/dev/ic/nslm7x.c
cvs rdiff -r1.21 -r1.21.2.1 src/sys/dev/ic/nslm7xvar.h
cvs rdiff -r1.30.2.1 -r1.30.2.2 src/sys/dev/ic/sgec.c
cvs rdiff -r1.48.2.1 -r1.48.2.2 src/sys/dev/ic/smc90cx6.c
cvs rdiff -r1.12.2.1 -r1.12.2.2 src/sys/dev/isa/it.c
cvs rdiff -r1.16 -r1.16.10.1 src/sys/dev/isa/lm_isa.c
cvs rdiff -r1.17.2.1 -r1.17.2.2 src/sys/dev/isa/nsclpcsio_isa.c
cvs rdiff -r1.2 -r1.2.4.1 src/sys/dev/isa/smsc.c src/sys/dev/isa/ug_isa.c
cvs rdiff -r1.5.2.1 -r1.5.2.2 src/sys/dev/onewire/onewire.c
cvs rdiff -r1.3 -r1.3.38.1 src/sys/dev/onewire/onewirevar.h
cvs rdiff -r1.10 -r1.10.2.1 src/sys/dev/onewire/owtemp.c
cvs rdiff -r1.74.10.1 -r1.74.10.2 src/sys/dev/pci/if_ti.c
cvs rdiff -r1.18 -r1.18.10.1 src/sys/dev/pci/if_tireg.h
cvs rdiff -r1.885.2.2 -r1.885.2.3 src/sys/dev/pci/pcidevs \
    src/sys/dev/pci/pcidevs.h
cvs rdiff -r1.884.2.2 -r1.884.2.3 src/sys/dev/pci/pcidevs_data.h
cvs rdiff -r1.37.10.1 -r1.37.10.2 src/sys/dev/pci/piixide.c
cvs rdiff -r1.1.6.2 -r1.1.6.3 src/sys/dev/pcmcia/btbc.c
cvs rdiff -r1.62.10.1 -r1.62.10.2 src/sys/dev/qbus/if_qe.c
cvs rdiff -r1.6.2.2 -r1.6.2.3 src/sys/dev/sysmon/files.sysmon
cvs rdiff -r1.24.2.2 -r1.24.2.3 src/sys/dev/sysmon/sysmon_envsys.c
cvs rdiff -r1.14.2.2 -r1.14.2.3 src/sys/dev/sysmon/sysmon_envsys_events.c
cvs rdiff -r0 -r1.1.2.2 src/sys/dev/sysmon/sysmon_envsys_tables.c
cvs rdiff -r1.3.6.2 -r1.3.6.3 src/sys/dev/sysmon/sysmon_envsysvar.h
cvs rdiff -r1.20.2.2 -r1.20.2.3 src/sys/dev/sysmon/sysmon_power.c
cvs rdiff -r1.15.2.1 -r1.15.2.2 src/sys/dev/sysmon/sysmonvar.h
cvs rdiff -r1.79 -r1.79.2.1 src/sys/dev/usb/files.usb
cvs rdiff -r1.26 -r1.26.26.1 src/sys/dev/usb/hid.c
cvs rdiff -r0 -r1.1.2.2 src/sys/dev/usb/uchcom.c
cvs rdiff -r1.480.2.1 -r1.480.2.2 src/sys/dev/usb/usbdevs \
    src/sys/dev/usb/usbdevs_data.h
cvs rdiff -r1.479.2.1 -r1.479.2.2 src/sys/dev/usb/usbdevs.h
cvs rdiff -r1.56 -r1.56.6.1 src/sys/dev/usb/uscanner.c
cvs rdiff -r1.38.2.1 -r1.38.2.2 src/sys/dist/ipf/netinet/fil.c
cvs rdiff -r1.7 -r1.7.2.1 src/sys/dist/ipf/netinet/ip_log.c
cvs rdiff -r1.30 -r1.30.2.1 src/sys/dist/ipf/netinet/ip_nat.c
cvs rdiff -r1.26 -r1.26.2.1 src/sys/dist/ipf/netinet/ip_state.c
cvs rdiff -r1.5.2.1 -r1.5.2.2 src/sys/fs/efs/efs_vfsops.c
cvs rdiff -r1.3.4.1 -r1.3.4.2 src/sys/fs/efs/efs_vnops.c
cvs rdiff -r1.40.2.1 -r1.40.2.2 src/sys/fs/puffs/puffs_subr.c
cvs rdiff -r1.51.2.2 -r1.51.2.3 src/sys/fs/puffs/puffs_vfsops.c
cvs rdiff -r1.13.2.1 -r1.13.2.2 src/sys/fs/sysvbfs/sysvbfs_vfsops.c
cvs rdiff -r1.307.2.2 -r1.307.2.3 src/sys/kern/init_main.c
cvs rdiff -r1.192.2.2 -r1.192.2.3 src/sys/kern/init_sysent.c
cvs rdiff -r1.159 -r1.159.2.1 src/sys/kern/kern_descrip.c
cvs rdiff -r1.183.2.2 -r1.183.2.3 src/sys/kern/kern_exit.c
cvs rdiff -r1.65.2.1 -r1.65.2.2 src/sys/kern/kern_lwp.c
cvs rdiff -r1.113.2.1 -r1.113.2.2 src/sys/kern/kern_proc.c
cvs rdiff -r1.118.2.1 -r1.118.2.2 src/sys/kern/kern_resource.c
cvs rdiff -r1.10.2.2 -r1.10.2.3 src/sys/kern/kern_sleepq.c
cvs rdiff -r1.103.2.1 -r1.103.2.2 src/sys/kern/sys_generic.c
cvs rdiff -r1.21.2.1 -r1.21.2.2 src/sys/kern/sys_lwp.c
cvs rdiff -r0 -r1.1.2.2 src/sys/kern/sys_mqueue.c
cvs rdiff -r1.188.2.2 -r1.188.2.3 src/sys/kern/syscalls.c
cvs rdiff -r1.171.2.2 -r1.171.2.3 src/sys/kern/syscalls.master
cvs rdiff -r1.115.2.1 -r1.115.2.2 src/sys/kern/uipc_syscalls.c
cvs rdiff -r0 -r1.2.2.2 src/sys/lkm/dev/isa/Makefile
cvs rdiff -r0 -r1.5.2.2 src/sys/lkm/dev/isa/Makefile.inc
cvs rdiff -r0 -r1.1.2.2 src/sys/lkm/dev/isa/common/lkm_isa.c.tmpl
cvs rdiff -r0 -r1.2.2.2 src/sys/lkm/dev/isa/it/Makefile
cvs rdiff -r0 -r1.2.2.2 src/sys/lkm/dev/isa/lm/Makefile
cvs rdiff -r0 -r1.2.2.2 src/sys/lkm/dev/isa/nsclpcsio/Makefile
cvs rdiff -r0 -r1.3.2.2 src/sys/lkm/dev/isa/smsc/Makefile
cvs rdiff -r0 -r1.2.2.2 src/sys/lkm/dev/isa/ug/Makefile
cvs rdiff -r1.3.6.2 -r1.3.6.3 src/sys/lkm/misc/envsys2/lkminit_envsys2.c
cvs rdiff -r1.98.2.2 -r1.98.2.3 src/sys/net/if_gre.c
cvs rdiff -r1.79 -r1.79.2.1 src/sys/net/if_pppoe.c
cvs rdiff -r1.10 -r1.10.2.1 src/sys/netbt/hci.h
cvs rdiff -r1.6.2.1 -r1.6.2.2 src/sys/netbt/hci_event.c
cvs rdiff -r1.12 -r1.12.2.1 src/sys/netbt/hci_link.c
cvs rdiff -r1.1 -r1.1.34.1 src/sys/netbt/hci_misc.c
cvs rdiff -r1.23.10.2 -r1.23.10.3 src/sys/netinet/if_atm.c
cvs rdiff -r1.179.2.1 -r1.179.2.2 src/sys/nfs/nfs_vfsops.c
cvs rdiff -r1.10.2.3 -r1.10.2.4 src/sys/rump/librump/rumpkern/vfs.c
cvs rdiff -r1.94.2.2 -r1.94.2.3 src/sys/sys/Makefile
cvs rdiff -r1.13.2.2 -r1.13.2.3 src/sys/sys/envsys.h
cvs rdiff -r1.57 -r1.57.2.1 src/sys/sys/file.h
cvs rdiff -r1.62.2.1 -r1.62.2.2 src/sys/sys/lwp.h
cvs rdiff -r0 -r1.1.2.2 src/sys/sys/mqueue.h
cvs rdiff -r1.267.2.2 -r1.267.2.3 src/sys/sys/param.h
cvs rdiff -r1.7 -r1.7.2.1 src/sys/sys/power.h
cvs rdiff -r1.252.2.1 -r1.252.2.2 src/sys/sys/proc.h
cvs rdiff -r1.3 -r1.3.12.1 src/sys/sys/syncobj.h
cvs rdiff -r1.186.2.2 -r1.186.2.3 src/sys/sys/syscall.h
cvs rdiff -r1.168.2.2 -r1.168.2.3 src/sys/sys/syscallargs.h
cvs rdiff -r1.14 -r1.14.14.1 src/sys/sys/tree.h
cvs rdiff -r1.76 -r1.76.2.1 src/sys/sys/types.h
cvs rdiff -r1.37 -r1.37.2.1 src/sys/sys/unistd.h

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.