Source-Changes-HG by date

Last updated: Wed Apr 07 00:02:45 2021
Messages in reverse chronological order
Timezone is UTC

Page 5 of 2021/03
[Prev Page] [Newest Page] [Oldest Page] [Next Page]

TimeSubject# followupsFrom
Wed, 31 Mar 2021
15:58 [src/netbsd-9]: src/doc Tickets #1238 - #1240 (0) martin
15:58 [src/netbsd-9]: src/sys/arch/sparc/sparc Pull up f (0) martin
15:58 [src/netbsd-9]: src/sys/arch/sparc/dev Apply patch (0) martin
15:58 [src/netbsd-9]: src/sys/arch/arm/cortex Pull up fo (0) martin
13:01 [src/trunk]: src/sys/arch Adjust the number of ent (0) simonb
11:02 [src/trunk]: src/sys/dev/pci/ixgbe KNF a bit. No f (0) msaitoh
11:02 [src/trunk]: src/sys/dev/pci/ixgbe Modify error me (0) msaitoh
08:53 [src/trunk]: src/share/mk Reenable kernel modules (0) simonb
08:53 [src/trunk]: src/external/cddl/osnet/sbin Use comp (0) simonb
08:53 [src/trunk]: src/external/cddl/osnet/dist/tools/ct (0) simonb
08:53 [src/trunk]: src/etc/mtree add named plugin dir (0) christos
08:53 [src/trunk]: src/etc/rc.d handle copying of plugin (0) christos
06:44 [src/trunk]: src/distrib/sets/lists/base new named (0) christos
06:44 [src/trunk]: src/external/mpl/bind Add plugin glue (0) christos
04:13 [src/thorpej-cfargs]: src/sys/dev/pci There are tw (0) thorpej
02:14 [src/trunk]: src/doc mention regex changes (0) christos
00:16 [src/trunk]: src/sys/arch/sparc64/doc sun4v: updat (0) palle
00:16 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
Tue, 30 Mar 2021
22:11 [src/trunk]: src/sys/arch/sparc64/sparc64 sun4v: h (0) palle
22:11 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
19:55 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
19:55 [src/trunk]: src/usr.bin/xlint/lint1 lint: add err (0) rillig
17:50 [src/trunk]: src/lib/libc/gen libc/gen: fix hack f (0) rillig
17:50 [src/trunk]: src/share/man/man4 Make this format a (0) rin
17:50 [src/trunk]: src/usr.bin/xlint/lint1 lint: add typ (0) rillig
17:50 [src/trunk]: src/usr.bin/xlint/lint1 lint: reword (0) rillig
17:50 [src/trunk]: src/usr.bin/xlint/lint1 lint: add typ (0) rillig
17:50 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
17:50 [src/trunk]: src/usr.bin/make make: remove workaro (0) rillig
17:50 [src/trunk]: src/sys/arch/powerpc/ibm4xx Use ``for (0) rin
17:50 [src/trunk]: src/sys/arch/powerpc/booke - Write-ba (0) rin
17:50 [src/trunk]: src/usr.bin/xlint/lint1 lint: rewrite (0) rillig
15:46 [src/trunk]: src/sys/arch/powerpc/ibm4xx Fix nearl (0) simonb
07:20 [src/trunk]: src/sys/arch/evbppc/walnut - G/C unus (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/walnut - Explicit (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/walnut - Include (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/obs405 - Include (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/obs405 - Explicit (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/obs405 - Include (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/obs405 - Explicit (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/include Include < (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/obs405 Sort & gro (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/obs405 G/C unused (0) rin
07:20 [src/trunk]: src/sys/arch/evbppc/include G/C <evbp (0) rin
05:21 [src/trunk]: src/sys/arch/evbppc/explora Sort head (0) rin
05:21 [src/trunk]: src/sys/arch G/C misleading <evbppc/t (0) rin
05:21 [src/trunk]: src/sys/arch/evbppc/explora G/C unuse (0) rin
05:21 [src/trunk]: src/sys/arch/evbppc Move common globa (0) rin
05:21 [src/trunk]: src/sys/arch/powerpc/ibm4xx According (0) rin
05:21 [src/trunk]: src/sys/arch/powerpc/ibm4xx/dev Suppo (0) rin
05:21 [src/trunk]: src/sys/arch Convert walnut to use po (0) rin
05:21 [src/trunk]: src/sys/arch/powerpc/ibm4xx/openbios (0) rin
05:21 [src/trunk]: src/sys/arch/powerpc/ibm4xx/openbios (0) rin
05:21 [src/trunk]: src/sys/arch Remove unused "startkern (0) rin
05:21 [src/trunk]: src/sys/arch Centralize cpu_reboot(). (0) rin
03:21 [src/trunk]: src/sys/arch G/C bootpath. (0) rin
01:12 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
01:12 [src/trunk]: src/sys/arch/evbppc/walnut/pci Remove (0) rin
01:12 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
01:12 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
01:12 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
01:12 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
01:12 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
01:12 [src/trunk]: src/usr.bin/xlint/lint1 lint: add hel (0) rillig
Mon, 29 Mar 2021
23:01 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
23:01 [src/trunk]: src/usr.bin/xlint/lint1 lint: remove (0) rillig
19:22 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
19:22 [src/trunk]: src/external/bsd/libarchive/dist/liba (0) christos
17:23 [src/trunk]: src/sys/arch/evbppc/walnut Use ibm4xx (0) rin
17:23 [src/trunk]: src/sys/arch/evbppc/walnut Use IBM405 (0) rin
17:23 [src/trunk]: src/sys/arch/evbppc Use ibm40x_machde (0) rin
17:23 [src/trunk]: src/sys/arch/evbppc/walnut Use com_op (0) rin
17:22 [src/trunk]: src/sys/arch/evbppc/walnut Use genppc (0) rin
17:22 [src/trunk]: src/sys/arch Set com(4) frequency in (0) rin
15:19 [src/trunk]: src/sys/arch Centralize cpu_rootconf( (0) rin
15:19 [src/trunk]: src/sys/arch/evbppc/virtex Make VIRTE (0) rin
15:19 [src/trunk]: src/sys/arch/evbppc/conf Fix copy-pas (0) rin
08:29 [src/trunk]: src/share/mk On MIPS if we compile C (0) simonb
08:29 [src/trunk]: src/external/cddl/osnet/lib/libdtrace (0) simonb
08:29 [src/trunk]: src/external/cddl/osnet/dev Work in p (0) simonb
06:20 [src/trunk]: src/external/cddl/osnet/dev/cyclic/mi (0) simonb
06:20 [src/trunk]: src/sys/arch/mips/mips (Very) minimal (0) simonb
06:20 [src/trunk]: src/sys/arch/mips Expose kdbpeek() an (0) simonb
06:20 [src/trunk]: src/sys/arch/mips/include Move the cp (0) simonb
06:20 [src/trunk]: src/sys/arch/mips/include Whitespace (0) simonb
04:21 [src/trunk]: src/sys/fs/nfs Don't use legacy VM ty (0) simonb
04:21 [src/trunk]: src/sys/arch/mips/include Provide vm_ (0) simonb
04:21 [src/trunk]: src Don't build or install /usr/lib/d (0) simonb
04:21 [src/trunk]: src Install <mips/frame.h>, now neede (0) simonb
04:21 [src/trunk]: src/sys/arch/mips/include Include #in (0) simonb
04:21 [src/trunk]: src/sys/arch/mips/include Add an lwp_ (0) simonb
04:21 [src/trunk]: src/external/cddl/osnet/sys/sys Don't (0) simonb
04:20 [src/trunk]: src/external/gpl3/gcc/dist/gcc/config (0) simonb
Sun, 28 Mar 2021
23:00 [src/thorpej-cfargs]: src/sys/dev/pci No need to p (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/gpio No need to (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/pci Correct the (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/pcmcia - Unwrap (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/scsipi Unwrap a (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/ic - In nvme_res (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/isa - Use design (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/isa pcppi_scan() (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/ic Correct the n (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/ic This driver o (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/ic No need to pa (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/i2c "iic" only h (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/eisa Correct nam (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/audio audio only (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev/ata Correct name (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/dev "" is not a vali (0) thorpej
23:00 [src/thorpej-cfargs]: src/sys/arch/x86/pci/imcsmb (0) thorpej
23:00 [src/trunk]: src/usr.bin/xlint/lint1 lint: add ass (0) rillig
23:00 [src/trunk]: src/usr.bin/xlint/lint1 lint: add tes (0) rillig
23:00 [src/trunk]: src/usr.bin/xlint/lint1 lint: split c (0) rillig
20:59 [src/netbsd-9]: src/crypto/external/bsd/openssl Ad (0) martin
20:59 [src/netbsd-9]: src/doc Amment ticket #1237 for ad (0) martin
20:59 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
20:59 [src/trunk]: src/usr.bin/xlint/lint1 lint: inline (0) rillig
20:59 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
20:59 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
20:59 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
20:59 [src/trunk]: src/usr.bin/xlint/lint1 lint: add is_ (0) rillig
20:58 [src/trunk]: src/share/misc DRM (0) nia
20:58 [src/trunk]: src/tests/lib/libc/sys yield so we ca (0) christos
18:41 [src/trunk]: src/external/bsd/atf/dist/tools If we (0) christos
18:41 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
18:41 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
18:41 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
18:41 [src/trunk]: src/usr.bin/xlint/lint1 lint: only de (0) rillig
18:41 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
16:40 [src/trunk]: src/share/misc Clarify and explain th (0) christos
16:40 [src/trunk]: src/share/misc - EXIT_FAILURE instead (0) christos
16:40 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
16:40 [src/trunk]: src/usr.bin/xlint/lint1 lint: remove (0) rillig
16:40 [src/trunk]: src/lib/libedit Only unescape when we (0) christos
16:40 [src/trunk]: src/lib/libedit document the flag (0) christos
16:40 [src/trunk]: src/lib/libedit Pass the unescaped fi (0) christos
16:40 [src/trunk]: src/usr.bin/xlint/lint1 lint: sprinkl (0) rillig
14:35 [src/trunk]: src/sys/arch/arm/cortex Disable 1ofN (0) jmcneill
14:35 [src/trunk]: src/usr.bin/xlint/lint1 lint: move co (0) rillig
14:35 [src/trunk]: src/usr.bin/xlint/lint1 lint: move co (0) rillig
14:35 [src/trunk]: src/usr.bin/xlint/lint1 lint: group f (0) rillig
14:35 [src/trunk]: src/sys/arch fix a comment that has b (0) skrll
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: inline (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: replace (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: omit un (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: move br (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: inline (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: inline (0) rillig
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: replace (0) rillig
12:24 [src/trunk]: src/sys/arch/arm/cortex Only target t (0) skrll
12:24 [src/trunk]: src/usr.bin/xlint/lint1 lint: move mo (0) rillig
12:24 [src/trunk]: src/sys/arch/macppc/conf Enable a few (0) martin
12:23 [src/trunk]: src/sys/arch/macppc/conf Remove makeo (0) martin
12:23 [src/trunk]: src/sys/arch/macppc/conf Add siisata (0) martin
12:23 [src/trunk]: src/usr.bin/xlint/lint1 lint: reorder (0) rillig
12:23 [src/trunk]: src/usr.bin/xlint/lint1 lint: move de (0) rillig
09:45 [src/trunk]: src/share/man/man9 Update documents. (0) isaki
06:44 [src/trunk]: src/lib/libc/sys Document EINVAL for (0) dholland
04:39 [src/thorpej-cfargs]: src/sys/arch/x86/pci These d (0) thorpej
04:39 [src/thorpej-cfargs]: src/sys/arch/x86/pci Minor r (0) thorpej
02:31 [src/trunk]: src/lib/libc/sys In getvfsstat(2), cl (0) dholland
02:31 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
02:31 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
02:31 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
02:31 [src/trunk]: src/usr.bin/xlint/lint1 lint: prepare (0) rillig
00:25 [src/trunk]: src/usr.bin/xlint/lint1 lint: move ha (0) rillig
00:25 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
00:25 [src/trunk]: src/usr.bin/xlint/lint1 lint: add fun (0) rillig
00:25 [src/trunk]: src/sys/dev/vmt Removed little endian (0) ryo
Sat, 27 Mar 2021
22:00 [src/trunk]: src/usr.bin/xlint/lint1 lint: remove (0) rillig
22:00 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
22:00 [src/trunk]: src/lib/libedit Add fn_complete2() th (0) christos
20:01 [src/trunk]: src/usr.bin/xlint/lint1 lint: extract (0) rillig
20:01 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
20:01 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
18:03 [src/netbsd-9]: src/doc Pull up the following revi (0) martin
18:03 [src/netbsd-9]: src/doc Ticket #1237 (0) martin
18:03 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
18:03 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
15:48 [src/netbsd-8]: src/doc Tickets #1667 and #1668 (0) martin
15:48 [src/netbsd-8]: src/libexec/httpd Pull up the foll (0) martin
15:48 [src/netbsd-8]: src/sys/dev/usb Regen for ticket # (0) martin
15:48 [src/netbsd-8]: src/sys/dev/usb Pull up following (0) martin
15:47 [src/netbsd-9]: src/doc Ticket #1236 (0) martin
15:47 [src/netbsd-9]: src/sys/dev/usb regen for ticket # (0) martin
15:47 [src/netbsd-9]: src/sys/dev/usb Pull up following (0) martin
15:47 [src/trunk]: src/tests/usr.bin/xlint/lint1 tests/l (0) rillig
15:47 [src/trunk]: src/usr.bin/xlint/lint1 lint: fix and (0) rillig
15:47 [src/trunk]: src/usr.bin/xlint/lint1 lint: merge d (0) rillig
15:47 [src/trunk]: src/usr.bin/xlint lint: rename LERROR (0) rillig
15:47 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
15:47 [src/trunk]: src/usr.bin/xlint/lint1 lint: clean u (0) rillig
15:47 [src/trunk]: src/usr.bin/xlint/lint1 lint: rename (0) rillig
15:47 [src/trunk]: src/sys/arch Revert recent pic optimi (0) jmcneill


Page 5 of 2021/03
[Prev Page] [Newest Page] [Oldest Page] [Next Page]
Home


Mail converted by MHonArc